The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Sheikh Ariful Islam, Srinivas Katkoori SafeController: Efficient and Transparent Control-Flow Integrity for RTL Design. Search on Bibsonomy ISVLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Xinhui Lai, Maksim Jenihhin, Georgios N. Selimis, Sven Goossens, Roel Maes, Kolin Paul Early RTL Analysis for SCA Vulnerability in Fuzzy Extractors of Memory-Based PUF Enabled Devices. Search on Bibsonomy VLSI-SOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Blaise Tine, Seyong Lee, Jeffrey S. Vetter, Hyesoon Kim Productive Hardware Designs using Hybrid HLS-RTL Development. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ahmad El-Shiekh, Ahmad El-Alfy, Ahmad Ammar, Mohamed Gamal, Mohamed Dessouky, Khaled Salah 0001, Hassan Mostafa IPXACT-Based RTL Generation Tool. Search on Bibsonomy NILES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Blaise-Pascal Tine, Sudhakar Yalamanchili, Hyesoon Kim Tango: An Optimizing Compiler for Just-In-Time RTL Simulation. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jie Xiao 0003, Qiou Ji, Jungang Lou, Ziwen Sun, Yujiao Huang A Stochastic-Based Reliability Calculation Method for RTL Circuits. Search on Bibsonomy iThings/GreenCom/CPSCom/SmartData/Cybermatics The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yangdi Lyu, Prabhat Mishra 0001 Automated Test Generation for Activation of Assertions in RTL Models. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jiaji He, Haocheng Ma, Xiaolong Guo, Yiqiang Zhao, Yier Jin Design for EM Side-Channel Security through Quantitative Assessment of RTL Implementations. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Heechun Park, Bon Woong Ku, Kyungwook Chang, Da Eun Shim, Sung Kyu Lim Pseudo-3D Approaches for Commercial-Grade RTL-to-GDS Tool Flow Targeting Monolithic 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Mehdi Moghaddamfar, Christian Färber, Wolfgang Lehner, Norman May Comparative analysis of OpenCL and RTL for sort-merge primitives on FPGA. Search on Bibsonomy DaMoN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jinwoo Kim, Gauthaman Murali, Pruek Vanna-Iampikul, Edward Lee, Daehyun Kim 0004, Arjun Chaudhuri, Sanmitra Banerjee, Krishnendu Chakrabarty, Saibal Mukhopadhyay, Sung Kyu Lim RTL-to-GDS Design Tools for Monolithic 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yanqing Zhang 0002, Haoxing Ren, Brucek Khailany Opportunities for RTL and Gate Level Simulation using GPUs (Invited Talk). Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ahmet Cagri Bagbaba, Maksim Jenihhin, Raimund Ubar, Christian Sauer 0001 Representing Gate-Level SET Faults by Multiple SEU Faults at RTL. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Rongliang Fu, Zhimin Zhang 0004, Guang-Ming Tang, Junying Huang, Xiaochun Ye, Dongrui Fan, Ninghui Sun Design Automation Methodology from RTL to Gate-level Netlist and Schematic for RSFQ Logic Circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jaganath Prasad Mohanty, Kamalakanta Mahapatra Authenticating resilience of RTL codes against Power Side Channel leakages. Search on Bibsonomy iSES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori Analytical Estimation and Localization of Hardware Trojan Vulnerability in RTL Designs. Search on Bibsonomy ISQED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sherief Reda Overview of the OpenROAD Digital Design Flow from RTL to GDS. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yier Jin CAD for Security: A Full Reverse Engineering Toolchain from Layout to RTL. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jakub Kaderka, Tomás Urbanec 0001 Time and sample rate synchronization of RTL-SDR using a GPS receiver. Search on Bibsonomy RADIOELEKTRONIKA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Keisuke Takano, Tetsuya Oda, Masaki Kohata Design of a DSL for Converting Rust Programming Language into RTL. Search on Bibsonomy EIDWT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Rafael Aguilar-Gonzalez, Alfonso Prieto-Guerrero, Victor Ramos, Efrain Santos-Luna, Miguel López-Benítez A Comparative Study of RTL-SDR Dongles from the Perspective of the Final Consumer. Search on Bibsonomy ICCE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Nourhan Muhammed, Nour Hussein, Khaled Salah 0002, Ayub Khan Assertion and Coverage Driven Test Generation Tool for RTL Designs. Search on Bibsonomy UEMCON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Scott Beamer, David Donofrio Efficiently Exploiting Low Activity Factors to Accelerate RTL Simulation. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Keisuke Takano, Tetsuya Oda, Masaki Kohata Approach of a Coding Conventions for Warning and Suggestion in Transpiler for Rust Convert to RTL. Search on Bibsonomy GCCE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Anushree Mahapatra, Benjamin Carrión Schäfer VeriIntel2C: Abstracting RTL to C to maximize High-Level Synthesis Design Space Exploration. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Tao Zhang, Jian Wang 0024, Shize Guo, Zhe Chen A Comprehensive FPGA Reverse Engineering Tool-Chain: From Bitstream to RTL Code. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Zeynab Mohseni, Pedro Reviriego Reliability characterization and activity analysis of lowRISC internal modules against single event upsets using fault injection and RTL simulation. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Jian Hu, Tun Li, Sikun Li Formal Equivalence Checking Between System-Level and RTL Descriptions without Pre-Given Mapping Information. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Maya H. Safieddine, Fadi A. Zaraket, Rouwaida Kanj, Ali S. Elzein, Wolfgang Roesner Verification at RTL Using Separation of Design Concerns. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Reda Yagoub, Mohamed Benaissa, Belkacem Benadda Nearby Carrier Detection Based on Low Cost RTL-SDR Front End. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Farha Islam Mime, S. M. Asaduzzaman, Farzana Islam Socio-network Analysis of RTL Designs for Hardware Trojan Localization. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Polina Proskura, Alexey Zaytsev 0002, I. Braslavsky, Evgenii Egorov, Evgeny Burnaev Usage of multiple RTL features for Earthquake prediction. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Miao Tony He, Jungmin Park, Adib Nahiyan, Apostol Vassilev 0001, Yier Jin, Mark M. Tehranipoor RTL-PSC: Automated Power Side-Channel Leakage Assessment at Register-Transfer Level. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Jinyan Zhu, Ruguang Zhou A vector CTL-RTL hierarchy with bi-Hamiltonian structure. Search on Bibsonomy Appl. Math. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Blaise-Pascal Tine, Sudhakar Yalamanchili, Hyesoon Kim, Jeffrey S. Vetter POSTER: Tango: An Optimizing Compiler for Just-In-Time RTL Simulation. Search on Bibsonomy PACT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Vighnesh Iyer, Donggyu Kim, Borivoje Nikolic, Sanjit A. Seshia RTL bug localization through LTL specification mining (WIP). Search on Bibsonomy MEMOCODE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Prokash Ghosh, Rohit Srivastava Case Study: SoC Performance Verification and Static Verification of RTL Parameters. Search on Bibsonomy MTV The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Alex Vidal-Obiols, Jordi Cortadella, Jordi Petit, Marc Galceran Oms, Ferran Martorell RTL-Aware Dataflow-Driven Macro Placement. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yangdi Lyu, Alif Ahmed, Prabhat Mishra 0001 Automated Activation of Multiple Targets in RTL Models using Concolic Testing. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Aman Goel, Karem A. Sakallah Empirical Evaluation of IC3-Based Model Checking Techniques on Verilog RTL Designs. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Tobias Strauch An RTL ATPG Flow Using the Gate Inherent Fault (GIF) Model Applied on Non-, Standard- and Random-Access-Scan (RAS). Search on Bibsonomy DSD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Donggyu Kim, Jerry Zhao, Jonathan Bachrach, Krste Asanovic Simmani: Runtime Power Modeling for Arbitrary RTL with Automatic Signal Selection. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Moon Gi Seok, Hessam S. Sarjoughian, Daejin Park A high-level modeling and simulation approach using test-driven cellular automata for fast performance analysis of RTL NoC designs. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Neil Veira, Zissis Poulos, Andreas G. Veneris Suspect2vec: a suspect prediction model for directed RTL debugging. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Grégory Calegari Marchesan, Everton Alceu Carara, Crístian Müller, Leonardo Londero de Oliveira GCoL - A General Co-simulator Applied to Wireless Sensor Networks and RTL Design. Search on Bibsonomy NEWCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Zongze Li, Song Fu Accelerating RNN on FPGA with Efficient Conversion of High-Level Designs to RTL. Search on Bibsonomy IEEE BigData The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Anushree Mahapatra, Benjamin Carrión Schäfer Optimizing RTL to C Abstraction Methodologies to Improve HLS Design Space Exploration. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Shogo Semba, Hiroshi Saito Comparison of RTL Conversion and GL Conversion from Synchronous Circuits to Asynchronous Circuits. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Shan Cao, Zhenyi Bao, Chengbo Xue, Wei Deng, Shugong Xu, Shunqing Zhang A Pre-RTL Simulator for Neural Networks. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yuki Takeuchi, Toshinori Hosokawa, Hiroshi Yamazaki, Masayoshi Yoshimura A Controller Augmentation Method to Improve Transition Fault Coverage for RTL Data-Paths. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Tsuyoshi Iwagaki, Sho Yuasa, Hideyuki Ichihara, Tomoo Inoue An Empirical Approach to RTL Scan Path Design Focusing on Structural Interpretation in Logic Synthesis. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Rebiha Kemcha, Nadia Nedjah, Amin Riad Maouche, Maamar Bougherara Evolutionary Design of Approximate Sequential Circuits at RTL Using Particle Swarm Optimization. Search on Bibsonomy ICCSA (2) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Polina Proskura, Alexey Zaytsev 0002, I. Braslavsky, Evgenii Egorov, Evgeny Burnaev Usage of Multiple RTL Features for Earthquakes Prediction. Search on Bibsonomy ICCSA (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori DLockout: A Design Lockout Technique for Key Obfuscated RTL IP Designs. Search on Bibsonomy iSES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Aman Goel, Karem A. Sakallah Model Checking of Verilog RTL Using IC3 with Syntax-Guided Abstraction. Search on Bibsonomy NFM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Miao Tony He, Jungmin Park, Adib Nahiyan, Apostol Vassilev 0001, Yier Jin, Mark M. Tehranipoor RTL-PSC: Automated Power Side-Channel Leakage Assessment at Register-Transfer Level. Search on Bibsonomy VTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Aleksa Damljanovic, Artur Jutman, Michele Portolan, Ernesto Sánchez 0001, Giovanni Squillero, Anton Tsertov Simulation-based Equivalence Checking between IEEE 1687 ICL and RTL. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Cheng-Hsien Shen, Aaron C.-W. Liang, Charles C.-H. Hsu, Charles H.-P. Wen FAE: Autoencoder-Based Failure Binning of RTL Designs for Verification and Debugging. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Aravind Krishnan Varadarajan, Michael Hsiao RTL Test Generation on Multi-core and Many-Core Architectures. Search on Bibsonomy VLSID The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Anirban Sengupta, Dipanjan Roy, Saraju P. Mohanty Low-Overhead Robust RTL Signature for DSP Core Protection: New Paradigm for Smart CE Design. Search on Bibsonomy ICCE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Heechun Park, Kyungwook Chang, Bon Woong Ku, Jinwoo Kim, Edward Lee, Daehyun Kim 0002, Arjun Chaudhuri, Sanmitra Banerjee, Saibal Mukhopadhyay, Krishnendu Chakrabarty, Sung Kyu Lim RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Donggyu Kim FPGA-Accelerated Evaluation and Verification of RTL Designs. Search on Bibsonomy 2019   RDF
15Yufei Ma 0002, Naveen Suda, Yu Cao 0001, Sarma B. K. Vrudhula, Jae-sun Seo ALAMO: FPGA acceleration of deep learning algorithms with a modularized RTL compiler. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Davide Bellizia, Simone Bongiovanni, Pietro Monsurrò, Giuseppe Scotti, Alessandro Trifiletti, Francesco Bruno Trotta Secure Double Rate Registers as an RTL Countermeasure Against Power Analysis Attacks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Shimpei Sato, Ryohei Kobayashi, Kenji Kise ArchHDL: A Novel Hardware RTL Modeling and High-Speed Simulation Environment. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Mehdi Roozmeh, Luciano Lavagno Design space exploration of multi-core RTL via high level synthesis from OpenCL models. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Zissis Poulos, Andreas G. Veneris Failure Triage in RTL Regression Verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Behzad Salami 0001, Osman S. Unsal, Adrián Cristal On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
15Tobias Strauch Timing Driven C-Slow Retiming on RTL for MultiCores on FPGAs. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
15Seyed Ali Asghar AbbasZadeh Arani, Ehsanollah Kabir, Reza Ebrahimpour Combining RtL and LtR HMMs to recognise handwritten Farsi words of small- and medium-sized vocabularies. Search on Bibsonomy IET Comput. Vis. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Rafael Mello Implementation of VOR receiver with the RTL-SDR. Search on Bibsonomy 2018   DOI  RDF
15Surbhi Chhabra, Kusum Lata Enhancing Data Security using Obfuscated 128-bit AES Algorithm - An Active Hardware Obfuscation Approach at RTL Level. Search on Bibsonomy ICACCI The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Nancy S. Soliman, Khaled Salah 0001, Ahmed H. Madian Automatic RTL coding correction Linting tool for critical issues. Search on Bibsonomy ICM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Davide Zoni, Luca Cremona, William Fornaciari PowerProbe: Run-time power modeling through automatic RTL instrumentation. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Alif Ahmed, Farimah Farahmandi, Prabhat Mishra 0001 Directed test generation using concolic testing on RTL models. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler Towards fully automated TLM-to-RTL property refinement. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Neil Veira, Zissis Poulos, Andreas G. Veneris Suspect set prediction in RTL bug hunting. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Daniel Mueller-Gritschneder, Martin Dittrich, Josef Weinzierl, Eric Cheng, Subhasish Mitra, Ulf Schlichtmann ETISS-ML: A multi-level instruction set simulator with RTL-level fault injection support for the evaluation of cross-layer resiliency techniques. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Yang Zhang 0014, Huimei Cheng, Dake Chen, Huayu Fu, Shikhanshu Agarwal, Mark Lin, Peter A. Beerel Challenges in Building an Open-Source Flow from RTL to Bundled-Data Design. Search on Bibsonomy ASYNC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler Towards Automated Refinement of TLM Properties to RTL. Search on Bibsonomy MBMV The full citation details ... 2018 DBLP  BibTeX  RDF
15Donggyu Kim, Christopher Celio, Sagar Karandikar, David Biancolin, Jonathan Bachrach, Krste Asanovic DESSERT: Debugging RTL Effectively with State Snapshotting for Error Replays across Trillions of Cycles. Search on Bibsonomy FPL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Masudul Hassan Quraishi, Hessam S. Sarjoughian, Soroosh Gholami Co-simulation of Hardware RTL and Software System using FMI. Search on Bibsonomy WSC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Mohammed Saber, Hatim Kharraz Aroussi, Abdessamad El Rharras, Rachid Saadane Raspberry Pi and RTL-SDR for Spectrum Sensing based on FM Real Signals. Search on Bibsonomy ICMCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Jan Nevoral, Richard Ruzicka Efficient Implementation of Bi-Functional RTL Components - Case Study. Search on Bibsonomy NGCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Kevin Laeufer, Jack Koenig, Donggyu Kim, Jonathan Bachrach, Koushik Sen RFUZZ: coverage-directed fuzz testing of RTL on FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Farhath Zareen, Robert Karam Detecting RTL Trojans using Artificial Immune Systems and High Level Behavior Classification. Search on Bibsonomy AsianHOST The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Jan Malburg, Heinz Riener, Görschwin Fey Mining Latency Guarantees for RTL Designs. Search on Bibsonomy ISMVL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Kunal Bansal, Michael S. Hsiao Optimization of Mutant Space for RTL Test Generation. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Alec Roelke, Xinfei Guo, Mircea Stan OldSpot: A Pre-RTL Model for Fine-Grained Aging and Lifetime Optimization. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Tania Khanna, Michael Hsiao Guiding RTL Test Generation Using Relevant Potential Invariants. Search on Bibsonomy ICCD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Radek Isa, Pavel Benácek, Viktor Pus Verification of Generated RTL from P4 Source Code. Search on Bibsonomy ICNP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Behzad Salami 0001, Osman S. Unsal, Adrián Cristal Kestelman On the Resilience of RTL NN Accelerators: Fault Characterization and Mitigation. Search on Bibsonomy SBAC-PAD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Sheikh Ariful Islam, Srinivas Katkoori High-level synthesis of key based obfuscated RTL datapaths. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Sang Un Park, Tae Pyeong Kim, Mee Zee Lee, Yong Beom Cho Method of RTL Debugging When Using HLS for HW Design : Different Simulation Result of Verilog & VHDL. Search on Bibsonomy ISOCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Omer Subasi, Chun-Kai Chang, Mattan Erez, Sriram Krishnamoorthy Characterizing the Impact of Soft Errors Affecting Floating-point ALUs using RTL-Ievel Fault Injection. Search on Bibsonomy ICPP The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Ranganathan Hariharan, Tara Ghasempouri, Behrad Niazmand, Jaan Raik From RTL Liveness Assertions to Cost-Effective Hardware Checkers. Search on Bibsonomy DCIS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Frederic Doucet, Robert P. Kurshan A methodology to take credit for high-level verification during RTL verification. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15William Diehl, Kris Gaj RTL implementations and FPGA benchmarking of selected CAESAR Round Two authenticated ciphers. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Ateeq-Ur-Rehman Shaheen, Fawnizu Azmadi Hussin, Nor Hisham Hamid A Hybrid Delay Design-for-Testability for Nonseparable RTL Controller-Data Path Circuits. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Luca Piccolboni, Alessandro Menon, Graziano Pravadelli Efficient Control-Flow Subgraph Matching for Detecting Hardware Trojans in RTL Models. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license