The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-DAT"( http://dblp.L3S.de/Venues/VLSI-DAT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vlsi-dat

Publication years (Num. hits)
2012 (92) 2013 (94) 2014 (83) 2015 (87) 2017 (68) 2018 (71) 2019 (70) 2020 (61) 2021 (32) 2022 (50)
Publication types (Num. hits)
inproceedings(698) proceedings(10)
Venues (Conferences, Journals, ...)
VLSI-DAT(708)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 708 publication records. Showing 708 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ye-Sing Luo, Jiun-Ru Wang, Wei-Jen Huang, Je-Yu Tsai, I-Chin Wu, Yi-Fang Liao, Wan-Ting Tseng, Chen-Tung Yen, Pai-Chi Li, Shen-Iuan Liu Ultrasonic telemetry and neural stimulator with FSK-PWM signaling. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yingchieh Ho, Katherine Shu-Min Li, Sying-Jyan Wang A 0.3 V low-power temperature-insensitive ring oscillator in 90 nm CMOS process. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hitoshi Mizunuma, Yi-Chang Lu, Chia-Lin Yang Thermal coupling aware task migration using neighboring core search for many-core systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa An energy-efficient high-level synthesis algorithm incorporating interconnection delays and dynamic multiple supply voltages. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chun-Yi Yeh, Hung-Chih Chiu, Hsi-Pin Ma An information hub for implantable wireless brain machine interface. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pei-Ying Hsueh, Shuo-Fen Kuo, Chao-Wen Tzeng, Jih-Nung Lee, Chi-Feng Wu Case study of yield learning through in-house flow of volume diagnosis. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chin Yin, Chih-Cheng Hsieh A 1V 14kfps smart CMOS imager with tracking and edge-detection modes for biomedical monitoring. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sanjeev Jain, Sheng-Lyang Jang, Miin-Horng Juang A 4.0/7.5-GHz dual-band LC VCO in 0.18-μm SiGe BiCMOS technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1John Goodacre ARM next generation 64bit processors for power efficient compute. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ding-Yun Chen, Chi-Cheng Ju, Chen-Tsai Ho, Chung-Hung Tsai MVSE: A Multi-core Video decoder System level analytics Engine. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hang Lv 0002, Bo Zhou 0008, Dang Liu, Woogeun Rhee, Yongming Li 0004, Zhihua Wang 0001 A 5.2-11.8MHz octa-phase relaxation oscillator for 8-PSK FM-UWB transceiver systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sachin S. Sapatnekar What happens when circuits grow old: Aging issues in CMOS design. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Erik Jan Marinissen Creating options for 3D-SIC testing. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mao Lin Li, Chen Kang Lo, Li-Chun Chen, Jen-Chieh Yeh, Ren-Song Tsay A Cycle Count Accurate TLM bus modeling approach. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jheng-Hao Ye, Tsung-Wei Hung, Ming-Der Shieh Energy-efficient architecture for word-based Montgomery modular multiplication algorithm. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kuen-Jong Lee, Chin-Yao Chang, Hung-Yang Yang An efficient deadlock-free multicast routing algorithm for mesh-based networks-on-chip. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuan-Chuan Steven Chen, Dave Budka, Auston Gibertini, Dan Bockelman, Yutien Lin Design validation on multiple-core CPU supported low power states using platform based infrared emission microscopy (PIREM) technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Ting Yeh, Ming-Dou Ker New design on 2×VDD-tolerant power-rail ESD clamp circuit with low standby leakage in 65nm CMOS process. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Wea Wang, Chen-Tung Lin, Chun-Chieh Hsu, Ching-Tung Wu, Chi-Feng Wu Test for more than pass/fail using on-chip temperature sensor. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hui Geng, Yiyu Shi 0001, Ming Dong, Runsheng Liu A master-slave SoC structure for HMM based speech recognition. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1An-Yeu Wu, Li-C. Wang Foreword. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xi-Rui Wang, Hsi-Pin Ma, Jen-Yuan Hsu, Pangan Ting Large set construction of user uplink ranging codes for M2M applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gary Huang Powerful smartphone solutions unleashing new technology innovations. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kevin Ho, Tsung-Yi Chou, Po-Kai Chen, David J. Liou High speed DDR2/3 PHY and dual CPU core design for 28nm SoC. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michel Brillouët, Shyh-Jye Jou, C. Patrick Yue Welcome from the general chairs. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sheng-Jhih Jiang, Chan-Liang Wu, Tsung-Yi Ho A nonlinear optimization methodology for resistor matching in analog integrated circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Z. Pan, Jhih-Rong Gao, Bei Yu 0001 VLSI CAD for emerging nanolithography. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei-Ning Liu, Tsung-Hsien Lin An energy-efficient ultra-wideband transmitter with an FIR pulse-shaping filter. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xiaolei Zhu, Yanfei Chen, Sanroku Tsukamoto, Tadahiro Kuroda A 9-bit 100MS/s tri-level charge redistribution SAR ADC with asymmetric CDAC array. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Guixuan Liang, Danping He, Jorge Portilla, Teresa Riesgo A hardware in the loop design methodology for FPGA system and its application to complex functions. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xiongxin Zhao, Zhixiang Chen 0002, Xiao Peng 0002, Dajiang Zhou, Satoshi Goto DVB-T2 LDPC decoder with perfect conflict resolution. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1B.-Y. Jan, J.-L. Huang A fault-tolerant PE array based matrix multiplier design. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xuefeng Zhu, Huawei Li 0001, Xiaowei Li 0001 Statistical SDFC: A metric for evaluating test quality of small delay faults. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Peter Lemmens Disruptive technologies for the future generation smart systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cheng-Ta Wu, Feng-Xiang Huang, Kuan-Fu Kuo, Ing-Jer Huang An OCP-AHB bus wrapper with built-in ICE support for SOC integration. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Doug Kwan, Jing Yu, Bhaskar Janakiraman Google's C/C++ toolchain for smart handheld devices. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Leo Lorenz Power semiconductor-driving technology for high power green electronic systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Liang-Chi Chiu, Tian-Sheuan Chang A lossless embedded compression codec engine for HD video decoding. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei-Hung Du, Po-Tsang Huang, Ming-Hung Chang, Wei Hwang A 2kb built-in row-controlled dynamic voltage scaling near-/sub-threshold FIFO memory for WBANs. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hsing-Ping Fu, Ju-Hung Hsiao, Po-Chun Liu, Hsie-Chia Chang, Chen-Yi Lee A low cost DPA-resistant 8-bit AES core based on ring oscillators. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hidemi Takasu Silicon Carbide devices open a new era of power electronics. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jia-Nan Tai, Hsin-Shu Chen, Hang-Quei Chiu A highly integrated class-D amplifier using driver delay hysteresis control. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yin-Tsung Hwang, Sung-Jun Tsai, Yi-Yo Chen Design and implementation of an optical OFDM baseband receiver in FPGA. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cihun-Siyong Alex Gong, Kai-Wen Yao, Jyun-Yue Hong, Muh-Tian Shiue On investigation into A CMOS-process-based high-voltage driver applied to implantable microsystem. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tung-Chieh Chen, Ta-Yu Kuan, Chung-Che Hsieh, Chi-Chen Peng Challenges and solutions in modern analog placement. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yung-Kuei Lu, Ming-Der Shieh Efficient architecture for Reed-Solomon decoder. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lin Lin, Weber Chien Emerging touch techniques in smart handheld device. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1I-Ting Lee, Yun-Ta Tsai, Shen-Iuan Liu A fast-locking phase-locked loop using CP control and gated VCO. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chi-Cheng Ju, Yung-Chang Chang, Chih-Ming Wang, Chun-Chia Chen, Hue-Min Lin, Chia-Yun Cheng, Fred Chiu, Sheng-Jen Wang, Tsu-Ming Liu, Chung-Hung Tsai A 363-µW/fps power-aware green multimedia processor for mobile applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ruili Wu, Yan Li 0008, Jerry Lopez, Donald Y. C. Lie A monolithic 1.85GHz 2-stage sige power amplifier with envelope tracking for improved linear power and efficiency. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Peng-Yu Chen, Soon-Jyh Chang, Chung-Ming Huang, Chin-Fu Lin A 1-V, 44.6 ppm/°C bandgap reference with CDS technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gabriel H. Loh Computer architecture for die stacking. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Yao Hsu, Chun-Yi Kuo, James Chien-Mo Li, Krishnendu Chakrabarty 3D IC test scheduling using simulated annealing. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kun-Chih Chen, Chih-Hao Chao, Shu-Yen Lin, Hui-Shun Hung, An-Yeu Wu Transport-layer assisted vertical traffic balanced routing for thermal-aware three-dimensional Network-on-Chip systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Brian Lee Review of 3D high density storage class memory (SCM) architecture. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jen-Huan Tsai, Yen-Ju Chen, Yan-Fong Lai, Meng-Hung Shen, Po-Chiun Huang A 14-bit 200MS/s current-steering DAC achieving over 82dB SFDR with digitally-assisted calibration and dynamic matching techniques. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Takumi Danjo, Masato Yoshioka, Masayuki Isogai, Masanori Hoshino, Sanroku Tsukamoto A 6b, 1GS/s, 9.9mW interpolated subranging ADC in 65nm CMOS. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Roberto Bez, Paolo Cappelletti Emerging memory technology perspective. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chia-Chi Ho, Tai-Cheng Lee A 10-bit 200-MS/s reconfigurable pipelined A/D converter. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi-Jun Liu, Chih-Chyau Yang, Shih-Lun Chen, Chun-Chieh Chiu, Chien-Ming Wu, Chun-Ming Huang An efficient memory controller for 3D heterogeneous integration platform. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zheng Wang 0020, Xiao Wang, Anupam Chattopadhyay, Zoltan Endre Rakosi ASIC synthesis using Architecture Description Language. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kevin J. Nowka Transforming memory systems: Optimizing for client value on emerging workloads. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chun-Lin Ko, Chieh-Pin Chang, Chien-Nan Kuo, Da-Chiang Chang, Ying-Zong Juang A 1-V 60 GHz CMOS low noise amplifier with low loss microstrip lines. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Guan-Ru Li, Bo-Cheng Charles Lai A highly parallel design of image surface layout recovering on GPGPU. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Kai Yang, Chi-Hsuan Hsieh, Yuan-Hao Huang An energy-saving spectrum sensing processor based on partial discrete wavelet packet transform. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nasirul Chowdhury, Jeff Wight, Christopher Mozak, Nasser A. Kurd Intel® Core™ i5/i7 QuickPath Interconnect receiver clocking circuits and training algorithm. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mu-Shun Matt Lee, Yi-Chu Liu, Wan-Rong Wu, Chien-Nan Jimmy Liu Peak wake-up current estimation at gate-level with standard library information. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1K. Lawrence Loh Technology and design challenges for smartphone SOCs. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chien-Hui Liao, Hung-Pin Wen Performance validation of dynamic-remapping-based task scheduling on 3D multi-core processors. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi-Wei Lin, Ming-Chien Tsai, Hao-I Yang, Geng-Cing Lin, Shao-Cheng Wang, Ching-Te Chuang, Shyh-Jye Jou, Wei Hwang, Nan-Chun Lien, Kuen-Di Lee, Wei-Chiang Shih An all-digital Read Stability and Write Margin characterization scheme for CMOS 6T SRAM array. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chang-Tzu Lin, Chia-Hsin Lee, Tsu-Wei Tseng, Ding-Ming Kwai, Yung-Fa Chou 3-D centric technology and realization with TSV. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ching-Hao Lin, Kuo-Chiang Chang, Ming-Hsun Chuang, Chih-Wei Liu Design and implementation of 18-band Quasi-ANSI S1.11 1/3-octave filter bank for digital hearing aids. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Magdy S. Abadir, Nik Sumikawa, Wen Chen 0016, Li-C. Wang Data mining based prediction paradigm and its applications in design automation. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Simon Jiang, Frankwell Lin The best SoC solution with AndesCore and Andes's platform. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xin-Tian Wu, Kai-Hua Hsu, Lynn C.-L. Chang, Charles H.-P. Wen Spatial-correlation-aware soft error rate analysis using quasi-importance sampling. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tzon-Tzer Lu, Hua-Chin Lee, Chao-Shiun Wang, Chorng-Kuang Wang A 4.9-mW 4-Gb/s single-to-differential TIA with current-amplifying regulated cascode. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jyun-Cheng Wu, Lei Chen, Tzi-Dar Chiueh Design of a real-time software-based GPS baseband receiver using GPU acceleration. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fu-Chen Chen, Yung-Lin Huang, Shao-Yi Chien Hardware-efficient true motion estimator based on Markov Random Field motion vector correction. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kuei-Cheng Lin, Hwann-Kaeo Chiou, Po-Chang Wu, Chu-Jung Sha, Chun-Lin Ko, Da-Chiang Chang, Ying-Zong Juang Variable gain active predistorter with linearity enhancement for a 2.4 GHz SiGe HBT power amplifier design. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ching-Hwa Cheng, Jiun-In Guo A high-speed dual-phase processing pipelined domino circuit design with a built-in performance adjusting mechanism. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rongxiang Wu, Salahuddin Raju, Mansun Chan, Johnny K. O. Sin, C. Patrick Yue Wireless power link design using silicon-embedded inductors for brain-machine interface. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tim Whitfield The 2012 ARM powered compute subsystem - delivering the smart handheld platform. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cong Hao, Song Chen 0001, Takeshi Yoshimura Port assignment for interconnect reduction in high-level synthesis. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ya-Qin Zhang Advances in computing. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ruo-Ting Ding, Shi-Yu Huang, Chao-Wen Tzeng, Shan-Chien Fang, Chia-Chien Weng Cyclic-MPCG: Process-resilient and super-resolution multi-phase clock generation by exploiting the cyclic property. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sheng-Wei Fan, Jia-Wai Chen, Jiun-In Guo Low bandwidth HD1080@60FPS JPEG-XR transform design. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tao Jiang 0005, Kangmin Hu, Patrick Yin Chiang A low-power, capacitively-divided, ring oscillator with digitally adjustable voltage swing. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, VLSI-DAT 2012, Hsinchu, Taiwan, April 23-25, 2012 Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  BibTeX  RDF
1Philippe Magarshack, Andreia Cathelin Gaining 10x in energy efficiency in the next decade in consumer products. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Li-Jung Chang, Yu-Jen Huang, Jin-Fu Li 0001 Area and reliability efficient ECC scheme for 3D RAMs. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yun-Yen ChenWu, Hsi-Pin Ma, Chaitali Biswas, Dejan Markovic Universal architecture prototype for patient-centric medical environment. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chih-Lin Chen, Hsin-Yuan Tseng, Ron-Chi Kuo, Chua-Chin Wang A slew rate self-adjusting 2×VDD output buffer With PVT compensation. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yu-Jen Huang, Jin-Fu Li 0001, Che-Wei Chou Post-bond test techniques for TSVs with crosstalk faults in 3D ICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi-Hsun Chen, Chi-Heng Yang, Hsie-Chia Chang A fully-parallel step-by-step BCH decoder over composite field for NOR flash memories. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shih-Nung Wei, Yi-Ming Wang, Jyun-Hua Peng, Yuandi Surya A range extending delay-recycled clock skew-compensation and/or duty-cycle-correction circuit. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1WeiXiang Tang, Yursun Hsu Design of a pipelined clos network with late release scheme. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Takayasu Sakurai Ambient electronics and ultra-low power LSI design. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Po-Hsiang Lan, Yao-Jun Kuo, Po-Chiun Huang An area-efficient CMOS switching converter with on-chip LC filter using feedforward ripple cancellation technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shin-Jye Hsu, Che-Yu Lu, Chung-Chih Hung 40MHz Gm-C filter with high linearity OTA for wireless applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wei-Cheng Lien, Tong-Yu Hsieh, Kuen-Jong Lee Routing-efficient implementation of an internal-response-based BIST architecture. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 708 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license