The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for clocking with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1987 (16) 1988-1992 (17) 1993-1995 (35) 1996-1997 (27) 1998-1999 (36) 2000 (16) 2001 (20) 2002 (21) 2003 (33) 2004 (32) 2005 (44) 2006 (42) 2007 (42) 2008 (34) 2009 (29) 2010 (17) 2011-2012 (33) 2013-2014 (34) 2015 (22) 2016-2017 (26) 2018 (20) 2019 (16) 2020-2021 (26) 2022 (21) 2023-2024 (14)
Publication types (Num. hits)
article(213) incollection(3) inproceedings(452) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 371 occurrences of 231 keywords

Results
Found 673 publication records. Showing 673 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Nandu Tendolkar, Rajesh Raina, Rick Woltenberg, Xijiang Lin, Bruce Swanson, Greg Aldrich Novel Techniques for Achieving High At-Speed Transition Fault Test Coverage for Motorola's Microprocessors Based on PowerPC(tm) Instruction Set Architecture. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Microprocessor, Delay Testing
10Suhwan Kim, Marios C. Papaefthymiou True single-phase adiabatic circuitry. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Paul Kartschoke, Stephen F. Geissler Timing Driven Wiring on an Advanced Microprocessor. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Woo-Seung Yang, In-Cheol Park, Chong-Min Kyung Low-power high-level synthesis using latches. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Jose Luis Nunez, Claudia Feregrino, Simon R. Jones, Stephen Bateman X-MatchPRO: A ProASIC-Based 200 Mbytes/s Full-Duplex Lossless Data Compressor. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Alvin R. Albrecht, Alan J. Hu Register Transformations with Multiple Clock Domains. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Yiannis Moisiadis, Ilias Bouras, Angela Arapoyanni, Lampros Dermentzoglou A high-performance low-power static differential double edge-triggered flip-flop. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Qing K. Zhu, Michael Zhang Low-voltage swing clock distribution schemes. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Alex R. Bugeja, Sung-Ung Kwak Design of a 14 b 100 MS/s switched-capacitor pipelined ADC in RFSiGe BiCMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Frank Grassert, Dirk Timmermann Dynamic single phase logic with self-timed stages for power reduction in pipeline circuit designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Ilion Yi-Liang Hsiao, Ding-Hao Wang, Chein-Wei Jen Power modeling and low-power design of content addressable memories. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Burkart Voss, Manfred Glesner A low power sinusoidal clock. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Haining Wang, Kang G. Shin Robust TCP Congestion Recovery. Search on Bibsonomy ICDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Masa-Aki Fukase, Ryusuke Egawa, Tomoaki Sato, Tadao Nakamura Scaling Up Of Wave Pipelines. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Christian Pacha, Uwe Auer, Christian Burwick, Peter Glösekötter, Andreas Brennemann, Werner Prost, Franz-Josef Tegude, Karl F. Goser Threshold logic circuit design of parallel adders using resonant tunneling devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Johnny Pihl Design automation with the TSPC circuit technique: a high-performance wave digital filter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Leonie Ruth Simpson, Ed Dawson, Jovan Dj. Golic, William Millan LILI Keystream Generator. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Fabrizio Viglione, Guido Masera, Gianluca Piccinini, Massimo Ruo Roch, Maurizio Zamboni A 50 Mbit/s Iterative Turbo-Decoder. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10George S. Taylor, Simon W. Moore, Steve Wilcox, Peter Robinson 0001 An On-Chip Dynamically Recalibrated Delay Line for Embedded Self-Timed Systems. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10John S. McCaskill, Robert Penchovsky, Marlies Gohlke, Jörg Ackermann, Thomas Rücker Steady Flow Micro-Reactor Module for Pipelined DNA Computations. Search on Bibsonomy DNA Computing The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Alex Biryukov, Adi Shamir, David A. Wagner 0001 Real Time Cryptanalysis of A5/1 on a PC. Search on Bibsonomy FSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Simon W. Moore, George S. Taylor, Paul A. Cunningham, Robert D. Mullins, Peter Robinson 0001 Self-Calibrating Clocks for Globally Asynchronous Locally Synchronous Systems. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Vivek Chickermane, Scott Richter, Carl Barnhart Integrating Logic BIST in VLSI Designs with Embedded Memories. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10M. Srikanth Rao, S. K. Nandy 0001 Power minimization using control generated clocks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Wayne P. Burleson, Jason Ko, Douglas Niehaus, Krithi Ramamritham, John A. Stankovic, Gary Wallace, Charles C. Weems The spring scheduling coprocessor: a scheduling accelerator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Guido Arnout C for System Level Design. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Xinghao Chen 0004, Thomas J. Snethen, Joe Swenton, Ron Walther A Simplified Method for Testing the IBM Pipeline Partial-Scan Microprocessor. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, DFT
10Doug Malone Design Validation of .18 um 1 Ghz Cache and Register Arrays. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Min Zhao 0001, Sachin S. Sapatnekar Timing-driven partitioning for two-phase domino and mixed static/domino implementations. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Pietro Andreani, Lars Sundström, Niklas Karlsson, M. Svensson A chip for linearization of RF power amplifiers using digital predistortion with a bit-parallel complex multiplier. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Fenghao Mu, Christer Svensson High speed interface for system-on-chip design by self-tested self-synchronization. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10M. B. Maaz, Magdy A. Bayoumi A non-zero clock skew scheduling algorithm for high speed clock distribution network. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jae J. Chang, Myunghee Lee, Sungyong Jung, Martin A. Brooke, Nan M. Jokerst, D. Scott Wills Fully differential current-input CMOS amplifier front-end suppressing mixed signal substrate noise for optoelectronic applications. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Renato Menicocci, Jovan Dj. Golic Edit Probability Correlation Attack on the Bilateral Stop/Go Generator. Search on Bibsonomy IMACC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF mutual clock control, bilateral stop/go, edit probability, Stream ciphers, correlation attack
10Radu M. Secareanu, Ivan S. Kourtev, Juan Becerra, Thomas E. Watrobski, Christopher Morton, William Staub, Thomas Tellier, Eby G. Friedman Noise Immunity of Digital Circuits in Mixed-Signal Smart Power Systems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Josef Schmid, Joachim Knäblein Advanced Synchronous Scan Test Methodology for Multi Clock Domain ASICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Bupesh Pandita, Subir K. Roy Design and Implementation of Viterbi Decoder Using FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Avinash K. Gautam, Jagdish C. Rao, Rohit Rathi, H. Udayakumar A Design-in Methodology to Ensure First Time Success of Complex Digital Signal Processors. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Dave Johnson 0003, Venkatesh Akella, Bret Stott Micropipelined asynchronous discrete cosine transform (DCT/IDCT) processor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Shangzhi Sun, David Hung-Chang Du, Hsi-Chuan Chen Efficient timing analysis for CMOS circuits considering data dependent delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Feng Zhou, Peter Kornerup A New Fast Discrete Fourier Transform. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Suhwan Kim, Marios C. Papaefthymiou True single-phase energy-recovering logic for low-power, high-speed VLSI. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Nilanjan Mukherjee 0001, Tapan J. Chakraborty, Sudipta Bhawmik A BIST scheme for the detection of path-delay faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10E. Kofi Vida-Torku, George Joos Designing for scan test of high performance embedded memories. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Jonathan Babb, Russell Tessier, Matthew Dahl, Silvina Hanono, David M. Hoki, Anant Agarwal Logic emulation with virtual wires. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Kris Gaj, Eby G. Friedman, Marc J. Feldman Timing of Multi-Gigahertz Rapid Single Flux Quantum Digital Circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Andre Hertwig, Hans-Joachim Wunderlich Fast controllers for data dominated applications. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Jovan Dj. Golic Cryptanalysis of Alleged A5 Stream Cipher. Search on Bibsonomy EUROCRYPT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Kevin J. Nowka, H. Peter Hofstee Circuits and Microarchitecture for Gigahertz VLSI Designs. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Jovan Dj. Golic, Renato Menicocci Edit Distance Correlation Attack on the Alternating Step Generator. Search on Bibsonomy CRYPTO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF clock-controlled shift registers, alternating step generator, cryptanalysis, Stream ciphers, edit distance, correlation attacks
10Ashok Vittal, Hein Ha, Forrest Brewer, Malgorzata Marek-Sadowska Clock skew optimization for ground bounce control. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Priyadarsan Patra, Donald S. Fussell Efficient Delay-Insensitive RSFQ Circuits. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Ahmed El-Amawy, Priyalal Kulasinghe Properties of Generalized Branch and Combine Clock Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Weitong Chuang, Sachin S. Sapatnekar, Ibrahim N. Hajj Timing and area optimization for standard-cell VLSI circuit design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Michael J. Flynn, Kevin J. Nowka, Gary Bewick, Eric M. Schwarz, Nhon T. Quach The SNAP Project: Towards Sub-Nanosecond Arithmetic. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floating-point multiplication, computer arithmetic, floating-point arithmetic, wave pipelining, floating-point addition
10K. J. Singh, P. A. Subrahmanyam Extracting RTL models from transistor netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Switch-level simulation, Formal verification, Extraction, RTL model
10Jovan Dj. Golic Towards Fast Correlation Attacks on Irregularly Clocked Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Carl Ebeling, Brian Lockyear On the performance of level-clocked circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-clocked circuits, level-sensitive latches, timing, synchronisation, flip-flops, clocks, retiming, clock skew, clock period, pipelined circuits
10Li-Ren Liu, Hsi-Chuan Chen, David Hung-Chang Du The calculation of signal stable ranges in combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10C. Thomas Gray, Wentai Liu, Ralph K. Cavin III Timing constraints for wave-pipelined systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Sonya Gary, Pete Ippolito, Gianfranco Gerosa, Carl Dietz, Jim Eno, Hector Sanchez PowerPC 603, A Microprocessor for Portable Computers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Sachin S. Sapatnekar, Vasant B. Rao, Pravin M. Vaidya, Sung-Mo Kang An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Teresa H.-Y. Meng, Robert W. Brodersen, David G. Messerschmitt Automatic synthesis of asynchronous circuits from high-level specifications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Miriam Leeser Reasoning about the function and timing of integrated circuits with interval temporal logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Nohbyung Park, Alice C. Parker Sehwa: a software package for synthesis of pipelines from behavioral specifications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
10Craig Hansen Hardware Logic Simulation by Compilation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
10Kye S. Hedlund Aesop: A Tool for Automated Transistor Sizing. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
10Larry G. Jones, Janos Simon Hierarchical VLSI Design Systems Based on Attribute Grammars. Search on Bibsonomy POPL The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Paul Six, Luc J. M. Claesen, Jan M. Rabaey, Hugo De Man An intelligent module generator environment. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Nohbyung Park, Alice C. Parker Sehwa: a program for synthesis of pipelines. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Wayne H. Wolf An object-oriented, procedural database for VLSI chip planning. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Bernhard Smeets A Note On Sequences Generated by Clock Controlled Shift Registers. Search on Bibsonomy EUROCRYPT The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #673 of 673 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license