The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for simultaneous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1962 (17) 1963-1966 (15) 1967-1969 (17) 1970-1973 (16) 1974-1976 (15) 1977-1978 (15) 1979-1980 (16) 1981-1982 (20) 1983-1984 (17) 1985 (21) 1986 (25) 1987 (27) 1988 (35) 1989 (43) 1990 (60) 1991 (47) 1992 (45) 1993 (62) 1994 (86) 1995 (111) 1996 (101) 1997 (134) 1998 (144) 1999 (203) 2000 (223) 2001 (293) 2002 (330) 2003 (412) 2004 (557) 2005 (585) 2006 (791) 2007 (839) 2008 (893) 2009 (647) 2010 (400) 2011 (440) 2012 (397) 2013 (522) 2014 (517) 2015 (597) 2016 (688) 2017 (654) 2018 (716) 2019 (770) 2020 (834) 2021 (836) 2022 (801) 2023 (827) 2024 (192)
Publication types (Num. hits)
article(7476) book(7) data(5) incollection(68) inproceedings(8393) phdthesis(104)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4716 occurrences of 2848 keywords

Results
Found 16053 publication records. Showing 16053 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Jorge Costa, Filipe Dias, Rui Araújo Simultaneous Localization and Map Building by Integrating a Cache of Features. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Giuseppe De Marco, Leonard Barolli smartAR: A Proposal of IP Micro-mobility Protocol for Single and Simultaneous Movements Scenario. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Carlos García 0001, Manuel Prieto 0001, Javier Setoain, Francisco Tirado Enhancing the Performance of Multigrid Smoothers in Simultaneous Multithreading Architectures. Search on Bibsonomy VECPAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Nuttapong Chentanez, Tolga Göktekin, Bryan E. Feldman, James F. O'Brien Simultaneous coupling of fluids and deformable bodies. Search on Bibsonomy Symposium on Computer Animation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF deformable bodies, two-way coupling, computational fluid dynamics, physically based animation, natural phenomena
12Narender Hanchate, Nagarajan Ranganathan A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jyh-Ming Lien, John Keyser, Nancy M. Amato Simultaneous shape decomposition and skeletonization. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-resolution skeleton, skeletonization, convex decomposition
12Huafeng Liu 0003, Yi Tian, Pengcheng Shi Simultaneous Estimation of PET Attenuation and Activity Images with Divided Difference Filters. Search on Bibsonomy MIAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Christiane Belitz, Stefan Lang 0003 Simultaneous Selection of Variables and Smoothing Parameters in Geoadditive Regression Models. Search on Bibsonomy GfKl The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jason Nocks Multiple Simultaneous Projects with One eXtreme Programming Team. Search on Bibsonomy AGILE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jens Tölle, Harald Schmidt Simultaneous Support of Multiple Security Protocols in a Group Communication Gateway. Search on Bibsonomy LCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Lei Cheng 0001, Liang Deng, Deming Chen, Martin D. F. Wong A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate replacement, input vector control, leakage reduction
12Joey Y. Lin, Deming Chen, Jason Cong Optimal simultaneous mapping and clustering for FPGA delay optimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF clustering, FPGA, dynamic programming, technology mapping
12Denis F. Wolf, Gaurav S. Sukhatme Mobile Robot Simultaneous Localization and Mapping in Dynamic Environments. Search on Bibsonomy Auton. Robots The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mapping, dynamic environments, SLAM, occupancy grids
12Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Simultaneous Vt selection and assignment for leakage optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Dongwoo Lee, David T. Blaauw, Dennis Sylvester Static leakage reduction through simultaneous Vt/Tox and state assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hamidreza Amindavar, Ali M. Reza A new simultaneous estimation of directions of arrival and channel parameters in a multipath environment. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Gang Qian, Rama Chellappa, Qinfen Zheng Bayesian algorithms for simultaneous structure from motion estimation of multiple independently moving objects. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Fadi Dornaika, Franck Davoine Simultaneous Facial Action Tracking and Expression Recognition Using a Particle Filter. Search on Bibsonomy ICCV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Vittoria Bruni, Domenico Vitulano Wavelet atoms approximation for simultaneous image compression and de-noising. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Wanqing Li 0001, Chris de Silver, Yianni Attikiouzel Simultaneous MAP estimation of inhomogeneity and segmentation of brain tissues from MR images. Search on Bibsonomy ICIP (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ákos Lédeczi, Péter Völgyesi, Miklos Maroti, Gyula Simon, György Balogh, András Nádas, Branislav Kusy, Sebestyen Dóra Multiple simultaneous acoustic source localization in urban terrain. Search on Bibsonomy IPSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Karl Aberer, Anwitaman Datta, Manfred Hauswirth Multifaceted Simultaneous Load Balancing in DHT-Based P2P Systems: A New Game with Old Balls and Bins. Search on Bibsonomy Self-star Properties in Complex Information Systems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Paul Newman 0001, Henrik I. Christensen Session Overview Simultaneous Localisation and Mapping. Search on Bibsonomy ISRR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Gang Chen 0020, Jason Cong Simultaneous timing-driven placement and duplication. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic duplication, FPGA, legalization, timing-driven placement, redundancy removal
12Azadeh Davoodi, Ankur Srivastava 0001 Simultaneous floorplanning and resource binding: a probabilistic approach. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ruoming Jin, Kaushik Sinha, Gagan Agrawal Simultaneous optimization of complex mining tasks with a knowledgeable cache. Search on Bibsonomy KDD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF knowledgeable cache, frequent pattern mining, multiple query optimization
12Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP)
12Yasutake Takahashi, Kazuhiro Edazawa, Kentarou Noma, Minoru Asada Simultaneous Learning to Acquire Competitive Behaviors in Multi-agent System Based on Modular Learning System. Search on Bibsonomy RoboCup The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Takeshi Oishi, Atsushi Nakazawa, Ryo Kurazume, Katsushi Ikeuchi Fast Simultaneous Alignment of Multiple Range Images Using Index Images. Search on Bibsonomy 3DIM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yukari Shirota Automatic Generation of Web-Based Mathematical Courseware for Solving Simultaneous Equations. Search on Bibsonomy Human.Society@Internet The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chen Liu 0001, Jean-Luc Gaudiot Static Partitioning vs Dynamic Sharing of Resources in Simultaneous MultiThreading Microarchitectures. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Daniel H. Wilson, Christopher G. Atkeson Simultaneous Tracking and Activity Recognition (STAR) Using Many Anonymous, Binary Sensors. Search on Bibsonomy Pervasive The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Seungdo Jeong, Jonglyul Chung, Sanghoon Lee 0002, Il Hong Suh, Byung-Uk Choi Design of a Simultaneous Mobile Robot Localization and Spatial Context Recognition System. Search on Bibsonomy KES (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Alejandro Hevia, Daniele Micciancio Simultaneous broadcast revisited. Search on Bibsonomy PODC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parallel broadcast, independence, secure function evaluation
12Nicholas D. H. Dowson, Richard Bowden Simultaneous Modeling and Tracking (SMAT) of Feature Sets. Search on Bibsonomy CVPR (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Tipp Moseley, Dirk Grunwald, Joshua L. Kihm, Daniel A. Connors Methods for Modeling Resource Contention on Simultaneous Multithreading Processors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ling Zhuang, Huafeng Liu 0003, Wei Chen 0001, Hujun Bao, Pengcheng Shi Simultaneous Segmentation and Motion Recovery in 3D Cardiac Image Analysis. Search on Bibsonomy CVBIA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ge Yang 0004, Yong Sin Kim, Sung-Mo Kang Current mode multi-level simultaneous bidirectional I/O scheme for chip-to-chip communications. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sanqing Hu, Derong Liu 0001, Huaguang Zhang Gradient-based methods for simultaneous blind separation of mixed source signals. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and uniform wire sizing for single long wires. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jung-ha An, Yunmei Chen, Feng Huang 0001, David Clifford Wilson, Edward A. Geiser A Variational PDE Based Level Set Method for a Simultaneous Segmentation and Non-rigid Registration. Search on Bibsonomy MICCAI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12John Malone-Lee A General Construction for Simultaneous Signing and Encrypting. Search on Bibsonomy IMACC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Giuseppe De Marco, Salvatore Loreto, Leonard Barolli Performance Analysis of IP Micro-mobility Protocols in Single and Simultaneous Movements Scenario. Search on Bibsonomy EUC Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Xiaohua Chen, Michael Brady 0001, Jonathan Lok-Chuen Lo, Niall Moore Simultaneous Segmentation and Registration of Contrast-Enhanced Breast MRI. Search on Bibsonomy IPMI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Carmelo Acosta, Ayose Falcón, Alex Ramírez, Mateo Valero A Complexity-Effective Simultaneous Multithreading Architecture. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Complexity-Effective, Heterogeneity-Awareness, Mapping Policies, Clustering, CMP, SMT
12Jonathan Alon, Vassilis Athitsos, Quan Yuan, Stan Sclaroff Simultaneous Localization and Recognition of Dynamic Hand Gestures. Search on Bibsonomy WACV/MOTION The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Xinxin Fan, Yumin Wang Simultaneous Divisor Class Addition-Subtraction Algorithm and Its Applications to Hyperelliptic Curve Cryptosystem. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12David Prasser, Michael Milford, Gordon F. Wyeth Outdoor Simultaneous Localisation and Mapping Using RatSLAM. Search on Bibsonomy FSR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SLAM, Omnidirectional Vision
12Fabien Baille, Evripidis Bampis, Christian Laforest, Nicolas Thibault On-Line Simultaneous Maximization of the Size and the Weight for Degradable Intervals Schedules. Search on Bibsonomy COCOON The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Simon K. Warfield, Kelly H. Zou, William M. Wells III Simultaneous truth and performance level estimation (STAPLE): an algorithm for the validation of image segmentation. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rong Luo, Wenan Zang, Cun-Quan Zhang Nowhere-Zero 4-Flows, Simultaneous Edge-Colorings, And Critical Partial Latin Squares. Search on Bibsonomy Comb. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000): 05C15, 05C07, 05C70, 05C38, 05B15
12Ashish Srivastava, Dennis Sylvester Minimizing total power by simultaneous Vdd/Vth assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Iris Hui-Ru Jiang, Yao-Wen Chang, Jing-Yang Jou, Kai-Yuan Chao Simultaneous floor plan and buffer-block optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Kevin M. Lepak, Min Xu, Jun Chen 0008, Lei He 0001 Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI physical design automation and on-chip inductance, net ordering, noise minimization, signal integrity, shielding
12Wai-Shun Tong, Chi-Keung Tang, Gérard G. Medioni Simultaneous Two-View Epipolar Geometry Estimation and Motion Segmentation by 4D Tensor Voting. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ying Kin Yu, Kin-hong Wong, Michael Ming Yuen Chang A fast and robust simultaneous pose tracking and structure recovery algorithm for augmented reality applications. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sen Zhang, Lihua Xie, Martin Adams An Efficient Data Association Approach to Simultaneous Localization and Map Building. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Denis F. Wolf, Gaurav S. Sukhatme Online Simultaneous Localization and Mapping in Dynamic Environments. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Diego Rodríguez-Losada, Fernando Matía, Agustín Jiménez Local Maps Fusion for Real Time Multirobot Indoor Simultaneous Localization and Mapping. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Stefan B. Williams, Ian Mahon Simultaneous Localisation and Mapping on the Great Barrier Reef. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Linthotage Dushantha Lochana Perera, W. Sardha Wijesoma, Martin David Adams On Multidimensional Assignment Data Association for Simultaneous Robot Localization and Mapping. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael Milford, Gordon F. Wyeth, David Prasser RatSLAM: a Hippocampal Model for Simultaneous Localization and Mapping. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Dongwoo Lee, Harmander Deogun, David T. Blaauw, Dennis Sylvester Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Daniel Cotting, Martin Näf, Markus H. Gross, Henry Fuchs Embedding Imperceptible Patterns into Projected Images for Simultaneous Acquisition and Display. Search on Bibsonomy ISMAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Muhammad Atif Tahir, Ahmed Bouridane, Fatih Kurugollu Simultaneous Feature Selection and Weighting for Nearest Neighbor Using Tabu Search. Search on Bibsonomy IDEAL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sen Zhang, Lihua Xie, Martin Adams Gradient model based feature extraction for simultaneous localization and mapping in outdoorapplications. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Takumi Kobayashi 0001, Nobuyuki Otsu Action and Simultaneous Multiple-Person Identification Using Cubic Higher-Order Local Auto-Correlation. Search on Bibsonomy ICPR (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Leo Reyes, Eduardo Bayro-Corrochano Geometric Approach for Simultaneous Projective Reconstruction of Points, Lines, Planes, Quadrics, Plane Conics and Degenerate Quadrics. Search on Bibsonomy ICPR (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gang Chen 0020, Jason Cong Simultaneous Timing Driven Clustering and Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael Van Biesbrouck, Timothy Sherwood, Brad Calder A co-phase matrix to guide simultaneous multithreading simulation. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Margaret E. Jefferies, Wenrong Weng, Jesse T. Baker, Michael Mayo Using Context to Solve the Correspondence Problem in Simultaneous Localisation and Mapping. Search on Bibsonomy PRICAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Edgar Moreno-García, J. Pérez-González, B. M. Marín-Santibáñez, F. Rodríguez González Simultaneous Measurements of Pressure, Electric Charge and Transmitted Light Intensity During the Extrusion of Polymer Melts. Search on Bibsonomy CONIELECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Dongsoo Kang, Jean-Luc Gaudiot Speculation Control for Simultaneous Multithreading. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Juan Charneco Fernández Simultaneous Extraction of Signal Using Algorithms Based on the Nonstationarity. Search on Bibsonomy ICA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael A. Terry, Elizabeth D. Mynatt, Kumiyo Nakakoji, Yasuhiro Yamamoto Variation in element and action: supporting simultaneous development of alternative solutions. Search on Bibsonomy CHI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel exploration, what-if tools, experimentation, exploration, interaction models
12Tzung-Rei Yang, Jong-Jiann Shieh Dynamic Fetch Engine for Simultaneous Multithreaded Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Thomas Gerbaud, Vladimir Polotski, Paul Cohen 0001 Simultaneous exploration and 3D mapping of unstructured environments. Search on Bibsonomy SMC (6) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Zezhong Xu, Jilin Liu Simultaneous localization and map building with linear system model. Search on Bibsonomy SMC (6) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12David C. K. Yuen, Bruce A. MacDonald Theoretical Considerations of Multiple Particle Filters for Simultaneous Localisation and Map-Building. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Shuxue Ding, Jie Huang 0012, Daming Wei, Sadao Omata Real-Time Independent Component Analysis Based on Gradient Learning with Simultaneous Perturbation Stochastic Approximation. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Stephen G. Kobourov, Chandan Pitta An Interactive Multi-user System for Simultaneous Graph Drawing. Search on Bibsonomy GD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yingmin Li, David M. Brooks, Zhigang Hu, Kevin Skadron, Pradip Bose Understanding the energy efficiency of simultaneous multithreading. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multithreading
12Madhubanti Mukherjee, Ranga Vemuri Simultaneous Scheduling, Binding and Layer Assignment for Synthesis of Vertically Integrated 3D Systems. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Hassan Hajjdiab, Robert Laganière Vision-Based Multi-Robot Simultaneous Localization and Mapping. Search on Bibsonomy CRV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sparse view matching, homography, camera pose estimation, robot localization
12Eric F. Weglarz, Kewal K. Saluja, T. M. Mak Testing of Hard Faults in Simultaneous Multithreaded Processors. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mahnaz Maddah, Kelly H. Zou, William M. Wells III, Ron Kikinis, Simon K. Warfield Automatic Optimization of Segmentation Algorithms Through Simultaneous Truth and Performance Level Estimation (STAPLE). Search on Bibsonomy MICCAI (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Dongku Kang, Mark C. Johnson, Kaushik Roy 0001 Simultaneous Multiple-Vdd Scheduling and Allocation for Partitioned Floorplan. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Konstantin Andreev, Charles Garrod, Bruce M. Maggs, Adam Meyerson Simultaneous Source Location. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Takahiro Saito, Shigemitsu Anyoji, Takashi Komatsu Selective Image Sharpening by Simultaneous Nonlinear-Diffusion Process with Spatially Varying Parameter Presetting. Search on Bibsonomy PCM (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Anirban Basu, Sheng-Chih Lin, Vineet Wason, Amit Mehrotra, Kaustav Banerjee Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electrothermal couplings, temperature aware design, subthreshold leakage, energy delay product
12Hua Xiang 0001, Xiaoping Tang, Martin D. F. Wong Min-cost flow-based algorithm for simultaneous pin assignment and routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ming Jiang 0001, Ge Wang 0001 Convergence of the simultaneous algebraic reconstruction technique (SART). Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Frank M. Candocia Simultaneous homographic and comparametric alignment of multiple exposure-adjusted pictures of the same scene. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Andrew J. Davison Real-Time Simultaneous Localisation and Mapping with a Single Camera. Search on Bibsonomy ICCV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Nathan Tuck, Dean M. Tullsen Initial Observations of the Simultaneous Multithreading Pentium 4 Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Sam T. Roweis, Ruslan Salakhutdinov Simultaneous Localization and Surveying with Multiple Agents. Search on Bibsonomy European Summer School on Multi-AgentControl The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Il-Kyun Jung, Simon Lacroix Simultaneous Localization and Mapping with Stereovision. Search on Bibsonomy ISRR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Danny Weyns, Tom Holvoet Regional Synchronization for Simultaneous Actions in Situated Multi-agent Systems. Search on Bibsonomy CEEMAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Gilbert Ritschard, Djamel A. Zighed Simultaneous Row and Column Partitioning: Evaluation of a Heuristic. Search on Bibsonomy ISMIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12De-Shuang Huang On the Comparisons between RLSA and CLA for Solving Arbitrary Linear Simultaneous Equations. Search on Bibsonomy IDEAL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 16053 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license