The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "A-SSCC"( http://dblp.L3S.de/Venues/A-SSCC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/asscc

Publication years (Num. hits)
2011 (103) 2012 (125) 2014 (97) 2015 (87) 2016 (91) 2017 (84) 2018 (91) 2019 (89) 2020 (42) 2021 (104) 2023 (100)
Publication types (Num. hits)
inproceedings(1002) proceedings(11)
Venues (Conferences, Journals, ...)
A-SSCC(1013)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1013 publication records. Showing 1013 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sho Ikeda, Sang-yeop Lee, Hiroyuki Ito, Noboru Ishihara, Kazuya Masu A 0.52-V 5.7-GHz low noise sub-sampling PLL with dynamic threshold MOSFET. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ching-Wei Wu, Ming-Hung Chang, Chia-Cheng Chen, Robin Lee, Hung-Jen Liao, Jonathan Chang A configurable 2-in-1 SRAM compiler with constant-negative-level write driver for low Vmin in 16nm Fin-FET CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaobao Yu, Meng Wei 0001, Yun Yin, Ying Song, Siyang Han, Qiongbing Liu, Zongming Jin, Xiliang Liu, Zhihua Wang 0001, Baoyong Chi A fully-integrated reconfigurable dual-band transceiver for short range wireless communication in 180nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shang-Hsien Yang, Chin-Long Wey, Ke-Horng Chen, Ying-Hsi Lin, Jing-Jia Chen, Tsung-Yen Tsai, Chao-Cheng Lee A 20MS/s buck/boost supply modulator for envelope tracking applications with direct digital interface. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1James Lin, Zule Xu, Masaya Miyahara, Akira Matsuzawa A 0.5-to-1 V 9-bit 15-to-90 MS/s digitally interpolated pipelined-SAR ADC using dynamic amplifier. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pai-Yi Wang, Li-Te Wu, Tai-Haur Kuo A current-mode buck converter with bandwidth reconfigurable for enhanced efficiency and improved load transient response. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Guan-Sing Chen, Chin-Yang Wu, Chen-Lun Lin, Hao-Wei Hung, Jri Lee Fully-integrated 40-Gb/s pulse pattern generator and bit-error-rate tester chipsets in 65-nm CMOS technology. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rui Wu 0001, Qinghong Bu, Wei Deng 0001, Kenichi Okada, Akira Matsuzawa A 0.015-mm2 60-GHz reconfigurable wake-up receiver by reusing multi-stage LNAs. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kenta Yasufuku, Naoto Oshiyama, Toshitada Saito, Yukimasa Miyamoto, Yutaka Nakamura, Ryota Terauchi, Atsushi Kondo, Takuma Aoyama, Masafumi Takahashi, Yukihito Oowaki, Ryoichi Bandai A UHS-II SD card controller with 240MB/s write throughput and 260MB/s read throughput. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tze-Chien Wang, Yu-Hsin Lin, Chun-Cheng Liu A 0.022mm2 98.5dB SNDR hybrid audio delta-sigma modulator with digital ELD compensation in 28nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aravinthan Athmanathan, Milos Stanisavljevic, Junho Cheon, Seokjoon Kang, Changyong Ahn, Junghyuk Yoon, Min-Chul Shin, Taekseung Kim, Nikolaos Papandreou, Haris Pozidis, Evangelos Eleftheriou A 6-bit drift-resilient readout scheme for multi-level Phase-Change Memory. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Youchang Kim, Gyeonghoon Kim, Injoon Hong, Donghyun Kim, Hoi-Jun Yoo A 4.9 mW neural network task scheduler for congestion-minimized network-on-chip in multi-core systems. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhao Zhang 0004, Liyuan Liu, Nanjian Wu A novel 2.4-to-3.6 GHz wideband subharmonically injection-locked PLL with adaptively-aligned injection timing. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chin-Ho Chang, Jaw-Juinn Horng, Amit Kundu, Chih-Chiang Chang, Yung-Chow Peng An ultra-compact, untrimmed CMOS bandgap reference with 3σ inaccuracy of +0.64% in 16nm FinFET. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shin-Hao Chen, Shen-Yu Peng, Ke-Horng Chen, Shin-Chi Lai, Sheng Kang, Kevin Cheng 0002, Ying-Hsi Lin, Chen-Chih Huang, Chao-Cheng Lee A 2.5W tablet speaker delivering 3.2W pseudo high power by psychoacoustic model based adaptive power management system. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, Hoi-Jun Yoo A 5.2mW IEEE 802.15.6 HBC standard compatible transceiver with power efficient delay-locked-loop based BPSK demodulator. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vivek De Energy efficient computing in nanoscale CMOS: Challenges and opportunities. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yang Li, Ni Xu, Yining Zhang, Woogeun Rhee, Sanghoon Kang, Zhihua Wang 0001 A 0.65V 1.2mW 2.4GHz/400MHz dual-mode phase modulator for mobile healthcare applications. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Noriyuki Miura, Daisuke Fujimoto, Rie Korenaga, Kohei Matsuda, Makoto Nagata An intermittent-driven supply-current equalizer for 11x and 4x power-overhead savings in CPA-resistant 128bit AES cryptographic processor. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chan-Hsiang Weng, Chun-Kuan Wu, Tsung-Hsien Lin A CMOS thermistor-embedded continuous-time delta-sigma temperature sensor with a resolution of 0.01 °C. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chih-Chan Tu, Feng-Wen Lee, Tsung-Hsien Lin An area-efficient capacitively-coupled instrumentation amplifier with a duty-cycled Gm-C DC servo loop in 0.18-μm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hiroyuki Ito, Yoshihiro Yoneda, Taiki Ibe, Taisuke Hamada, Noboru Ishihara, Kazuya Masu, Shoichi Masui, Youichi Momiyama An ultra-low-power RF transceiver with a 1.5-pJ/bit maximally-digital impulse-transmitter and an 89.5-μW super-regenerative RSSI. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Haoyu Qian, José Silva-Martínez A 44.9% PAE digitally-assisted linear power amplifier in 40 nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Islam A. K. M. Mahfuzul, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Wide-supply-range all-digital leakage variation sensor for on-chip process and temperature monitoring. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hans Reyserhove, Nele Reynders, Wim Dehaene Ultra-low voltage datapath blocks in 28nm UTBB FD-SOI. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shin-ichi Yoshida, Mutsumi Hamaguchi, Takahiro Morishita, Shinji Shinjo, Akira Nagao, Masayuki Miyamoto An 87×49 mutual capacitance touch sensing IC enabling 0.5 mm-diameter stylus signal detection at 240 Hz-reporting-rate with palm rejection. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Itaru Hida, Dahoo Kim, Tetsuya Asai, Masato Motomura A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic reconfigurable array accelerator. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Wang 0070, Yong Lian 0001, Chun-Huat Heng A 1.44mm2 4-channel UWB beamforming receiver with Q-compensation in 65nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sang Gyun Kim, Seung-Hwan Jung, Yun Seong Eo, Seung-Hoon Kim, Xiao Ying 0001, Hanbyul Choi, Chaerin Hong, Kyungmin Lee, Sung Min Park 0001 A 50-Gb/s differential transimpedance amplifier in 65nm CMOS technology. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anh-Tuan Do, Zhao Chuan Lee, Bo Wang 0020, Ik-Joon Chang, Tony Tae-Hyoung Kim 0.2 V 8T SRAM with improved bitline sensing using column-based data randomization. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seonggeon Kim, Jaehyun Kang, Minjae Lee A 12 bit 250 MS/s 28 mW +70 dB SFDR DAC in 0.11 μm CMOS using controllable RZ window for wireless SoC integration. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zheng-Hao Hong, Wei-Zen Chen A 3.12 pJ/bit, 19-27 Gbps receiver with 2 Tap-DFE embedded clock and data recovery. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiayun Liu, Teng Kok Hin, Chun-Huat Heng, Yuan Gao 0011, Wei-Da Toh, San-Jeow Cheng, Minkyu Je A 103 pJ/bit multi-channel reconfigurable GMSK/PSK/16-QAM transmitter with band-shaping. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jian Xu 0002, Tong Wu 0001, Zhi Yang 0002 A power efficient frequency shaping neural recorder with automatic bandwidth adjustment. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kelvin Yi-Tse Lai, Yu-Tao Yang, Bang-Jing Chen, Chun-Jen Shen, Ming-Feng Shiu, Zih-Cheng He, Hsie-Chia Chang, Chen-Yi Lee A 3.3V 15.6b 6.1pJ/0.02%RH with 10ms response humidity sensor for respiratory monitoring. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sanghyun Heo, Hyunggun Ma, Jae Joon Kim, Franklin Bien Highly improved SNR differential sensing method using parallel operation signaling for touch screen application. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lukas Kull, Jan Plíva, Thomas Toifl, Martin L. Schmatz, Pier Andrea Francese, Christian Menolfi, Matthias Braendli, Marcel A. Kossel, Thomas Morf, Toke Meyer Andersen, Yusuf Leblebici A 110 mW 6 bit 36 GS/s interleaved SAR ADC for 100 GBE occupying 0.048 mm2 in 32 nm SOI CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nai-Chung Kuo, Bonjern Yang, Chaoying Wu, Lingkai Kong, Angie Wang, Michael Reiha, Elad Alon, Ali M. Niknejad, Borivoje Nikolic A frequency-reconfigurable multi-standard 65nm CMOS digital transmitter with LTCC interposers. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jingren Gu, Huanfen Yao, Keping Wang, Babak A. Parviz, Brian P. Otis A 10μA on-chip electrochemical impedance spectroscopy system for wearables/implantables. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dong-Chul Park, Tae-Hwang Kong, Sukhwan Choi, Gyu-Hyeong Cho An 83% peak efficiency and 1.07W/mm2 power density Single Inductor 4-Output DC-DC converter with Bang-Bang Zeroth-Order Control. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hiromitsu Kimura, Takaaki Fuchikami, Kyoji Marumoto, Yoshikazu Fujimori, Shintaro Izumi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 2.4 pJ ferroelectric-based non-volatile flip-flop with 10-year data retention capability. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, Hoi-Jun Yoo A 27mW reconfigurable marker-less logarithmic camera pose estimation engine for mobile augmented reality processor. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sunjoo Hong, Jaehyuk Lee, Joonsung Bae, Hoi-Jun Yoo A 10.4 mW electrical impedance tomography SoC for portable real-time lung ventilation monitoring system. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chun-Cheng Liu A 10-bit 320-MS/s low-cost SAR ADC for IEEE 802.11ac applications in 20-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Yao, Jianming Zhao, Peng Li, Rui-Feng Xue, Yong Ping Xu, Minkyu Je A 20V-compliance implantable neural stimulator IC with closed-loop power control, active charge balancing, and electrode impedance check. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 IEEE Asian Solid-State Circuits Conference, A-SSCC 2014, KaoHsiung, Taiwan, November 10-12, 2014 Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  BibTeX  RDF
1Chun-Yuan Cheng, Jinn-Shyan Wang, Pei-Yuan Chou, Shiou-Ching Chen, Chi-Tien Sun, Yuan-Hua Chu, Tzu-Yi Yang A 3 MHz-to-1.8 GHz 94 μW-to-9.5 mW 0.0153-mm2 all-digital delay-locked loop in 65-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jen-Huan Tsai, Sheng-An Ko, Hui-Huan Wang, Chia-Wei Wang, Hsin Chen, Po-Chiun Huang A 1V input, 3-to-6V output, integrated 58%-efficient charge-pump with hybrid topology and parasitic energy collection for 66% area reduction and 11% efficiency improvement. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fei Song, Sam Chun-Geik Tan, Osama Shana'a An ultra-low-cost ESD-protected 0.65dB NF +10dBm OP1dB GNSS LNA in 0.18-μm SOI CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jack Yuan-Chen Sun Semiconductor innovation into the next decade. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tzu-Chao Yan, Chun-Hsing Li, Chih-Wei Lai, Wei-Cheng Chen, Tzu-Yuan Chao, Chien-Nan Kuo CMOS THz transmissive imaging system. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jongwoo Lee, Byungki Han, Jae-Hyun Lim, Su-Seob Ahn, Jae-Kwon Kim, Thomas Byunghak Cho A reconfigurable analog baseband for single-chip, Saw-less, 2G/3G/4G cellular transceivers with carrier aggregation. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aravind Tharayil Narayanan, Wei Deng 0001, Dongsheng Yang 0002, Rui Wu 0001, Kenichi Okada, Akira Matsuzawa A 0.011 mm2 PVT-robust fully-synthesizable CDR with a data rate of 10.05 Gb/s in 28nm FD SOI. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shih-Hao Huang, Zheng-Hao Hong, Wei-Zen Chen A 2 × 20-Gb/s, 1.2-pJ/bit, time-interleaved optical receiver in 40-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaeyoung Choi, M. Kumarasamy Raja, M. Annamalai Arasu A programmable discrete-time filter employing hardware-efficient two-dimensional implementation method. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alberto Rodríguez-Pérez, Manuel Delgado-Restituto, Angela A. Darie, Cristina Soto-Sánchez, Eduardo Fernández-Jover, Ángel Rodríguez-Vázquez A 330μW, 64-channel neural recording sensor with embedded spike feature extraction and auto-calibration. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chih-Lung Chen, Sheng-Jhan Wu, Hsie-Chia Chang, Chen-Yi Lee A 1-100Mb/s 0.5-9.9mW LDPC convolutional code decoder for body area network. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Po-Tsang Huang, Shu-Lin Lai, Ching-Te Chuang, Wei Hwang, Jason Huang, Angelo Hu, Paul Kan, Michael Jia, Kimi Lv, Bright Zhang 0.339fJ/bit/search energy-efficient TCAM macro design in 40nm LP CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yat-Hei Lam, Seong-Jin Kim A 16.6μW 32.8MHz monolithic CMOS relaxation oscillator. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Harish Kundur Subramaniyan, Eric A. M. Klumperink, Bram Nauta, Venkatesh Srinivasan, Ali Kiaei RF transconductor linearization technique robust to process, voltage and temperature variations. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seong-Jin Kim, Lei Liu, Lei Yao, Wang Ling Goh, Yuan Gao 0011, Minkyu Je A 0.5-V sub-μW/channel neural recording IC with delta-modulation-based spike detection. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Norihiro Kamae, Islam A. K. M. Mahfuzul, Akira Tsuchiya, Hidetoshi Onodera A body bias generator with wide supply-range down to threshold voltage for within-die variability compensation. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yao-Sheng Hu, Chi-Huai Shih, Hung-Yen Tai, Hung-Wei Chen, Hsin-Shu Chen A 0.6V 6.4fJ/conversion-step 10-bit 150MS/s subranging SAR ADC in 40nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Koichi Ishida, Reza Shabanpour, Bahman Kheradmand Boroujeni, Tilo Meister, Corrado Carta, Frank Ellinger, Luisa Petti, Niko Münzenrieder, Giovanni A. Salvatore, Gerhard Tröster 22.5 dB open-loop gain, 31 kHz GBW pseudo-CMOS based operational amplifier with a-IGZO TFTs on a flexible film. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alex Jinsung Choi Internet of Things: Evolution towards a hyper-connected society. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuan-Fu Lin, Chang-Cheng Huang, Jiunn-Yih Max Lee, Chih-Tien Chang, Shen-Iuan Liu A 5-20 Gb/s power scalable adaptive linear equalizer using edge counting. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wen-Pin Lin, Shyh-Shyuan Sheu, Chia-Chen Kuo, Pei-Ling Tseng, Meng-Fan Chang, Keng-Li Su, Chih-Sheng Lin, Kan-Hsueh Tsai, Sih-Han Lee, Szu-Chieh Liu, Yu-Sheng Chen, Heng-Yuan Lee, Ching-Chih Hsu, Frederick T. Chen, Tzu-Kun Ku, Ming-Jinn Tsai, Ming-Jer Kao A nonvolatile look-up table using ReRAM for reconfigurable logic. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chao Wang 0016, Jun Zhou 0017, Xin Liu 0015, Muthukumaraswamy Annamalai Arasu, Minkyu Je A sub-threshold to super-threshold Level Conversion Flip Flop for sub/near-threshold dual-supply operation. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xinwang Zhang, Zhihua Wang 0001, Baoyong Chi A 0.1-1.5GHz harmonic rejection receiver front-end with hybrid 8 phase LO generator, phase ambiguity correction and vector gain calibration. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dixian Zhao, Patrick Reynaert A 3 Gb/s 64-QAM E-band direct-conversion transmitter in 40-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shih-Hsiung Chien, Ting-Hsuan Hung, Szu-Yu Huang, Tai-Haur Kuo A monolithic capacitor-current-controlled hysteretic buck converter with transient-optimized feedback circuit. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seong-Ho Lee, Duke Tran, Tamer A. Ali 0001, Burak Çatli, Heng Zhang, Wei Zhang, Mohammed M. Abdul-Latif, Zhi Huang, Guansheng Li, Mahmoud Reza Ahmadi, Afshin Momtaz A 23mW/lane 1.2-6.8Gb/s multi-standard transceiver in 28nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chih-Chan Tu, Feng-Wen Lee, Dong-Feng Yeih, Tsung-Hsien Lin A 135-μW 0.46-mΩ/√Hz thoracic impedance variance monitor with square-wave current modulation. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jun Deguchi, Toshiyuki Yamagishi, Hideaki Majima, Nau Ozaki, Kazuhiro Hiwada, Makoto Morimoto, Tatsuji Ashitani, Shouhei Kousai A 1.4Mpixel CMOS image sensor with multiple row-rescan based data sampling for optical camera communication. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chin-Fu Li, Shih-Chieh Chou, Chang-Ming Lai, Cuei-Ling Hsieh, Jenny Yi-Chun Liu, Po-Chiun Huang A feedforward noise and distortion cancellation technique for CMOS broadband LNA-mixer. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu-Kai Chou, Yue Feng, Yu-Hsin Lin, Cong Liu 0016, Chen-Yen Ho, Bo Hu, Jun Zha, Steven Chuang A power management unit integrated ADSL/ADSL2+ CPE analog front-end with -93.5dB THD for DMT-based applications. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yi-Ping Su, Chiun-He Lin, Te-Fu Yang, Ru-Yu Huang, Wei-Chung Chen, Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, Chao-Cheng Lee CCM/GM relative skip energy control in single-inductor multiple-output DC-DC converter for wearable device power solution. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chien-Kai Kao, Kuan-Lin Fu, Shen-Iuan Liu A 2×25 Gb/s clock and data recovery with background amplitude-locked loop. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Makoto Oba, Eiji Okada, Ayako Tachibana, Koji Takahashi, Masahiko Sagisaka A low-power single-chip transceiver for 169/300/400/900 MHz band wireless sensor networks. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ye-Sing Luo, Shen-Iuan Liu A low-input-swing AC-DC voltage multiplier using Schottky diodes. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sang-Hyeok Chu, Woo-Rham Bae, Gyu-Seob Jeong, Jiho Joo, Gyungock Kim, Deog-Kyoon Jeong A 26.5 Gb/s optical receiver with all-digital clock and data recovery in 65nm CMOS process. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xinwang Zhang, Yang Xu 0005, Bingqiao Liu, Qian Yu, Siyang Han, Qiongbing Liu, Zehong Zhang, Yanqiang Gao, Zhihua Wang 0001, Baoyong Chi A 0.1-5GHz flexible SDR receiver in 65nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hyunbae Lee, Taeksang Song, Sangyeon Byeon, Kwanghun Lee, Inhwa Jung, Seongjin Kang, Ohkyu Kwon, Koeun Cheon, Donghwan Seol, Jong-Ho Kang, Gunwoo Park, Yunsaing Kim A 16.8Gbps/channel single-ended transceiver in 65nm CMOS for SiP based DRAM interface on Si-carrier channel. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ting-Kuei Kuan, Yu-Hsuan Chiang, Shen-Iuan Liu A 0.43pJ/bit true random number generator. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cheng-En Hsieh, Shen-Iuan Liu A 0.3V 10bit 7.3fJ/conversion-step SAR ADC in 0.18μm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Huu Phuc Nguyen, Nhan Bon Nguyen, Huy Ann Quyen Recognition and simulation of electromagnetic transients in power systems of Southern Vietnam. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ding Li, Hong Zhou 0003 Main-steam temperature control for ultra-supercritical unit using Multi-Model Predictive strategy. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hui Wang, Fangqiu Xu Flexible global carbon pricing study: A new method to establish the international cooperation on reducing carbon emission. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yuya Suzuki, Ryuichi Ogahara, Shinichi Iwamoto Study on system voltage oscillation and voltage stability control. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Masakazu Sasaki, Tielong Shen EV bus system control strategy design with consideration of battery lifetime model. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Balakrishnan Sivaneasan, Nandha Kumar Kandasamy, Ping Lam So, Erry Gunawan A hybrid PLC-WiMAX based communication system for advanced metering infrastructure. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cheah Peng Huat, R. Zhang, Hoay Beng Gooi, H. Yu, M. K. Foo Consumer energy portal and home energy management system for smart grid applications. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Wang Gao-qin, Xu Jun, Shao Ping Configurable data exchange tool design based on tagged data structure. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Veera Thanyaphirak, Vijit Kinnares, Anantawat Kunakorn PWM AC chopper control schemes for energy saving of single-phase induction motors. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yunqian Zhang, Weihao Hu, Zhe Chen 0007, Ming Cheng 0001 Individual pitch control for mitigation of power fluctuation of variable speed wind turbines. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Raji Ambikairajah, Bao Toan Phung, Jayashri Ravishankar The modeling of partial discharge waveforms in power systems equipment. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jakob Glarbo Møller, Qiuwei Wu, Jacob Østergaard, Arne Hejde Nielsen, Yi Ding 0001 Policies and initiatives for carbon neutrality in nordic heating and transport systems. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Thanh Tu Vo, Weixiang Shen, Ajay Kapoor Experimental comparison of charging algorithms for a lithium-ion battery. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1P. Wannakarn, Vijit Kinnares Single-phase grid connected axial flux permanent magnet generator system with reactive power compensation functionality. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cirilo C. Calibjo, Allan C. Nerves Reference Grid Performance Assessment Model for transmission company regulation. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1013 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license