The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Mizanur Rahman Cache-Oblivious Scanline Algorithm Design. Search on Bibsonomy CGIV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scanline algorithms, cacheoblivious model, RAM model and divide and conquer approach
31Hyunjin Lee, Sangyeun Cho, Bruce R. Childers Performance of Graceful Degradation for Cache Faults. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Arkaprava Basu, Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez Scavenger: A New Last Level Cache Architecture with Global Block Priority. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Xiaodan Wang, Tanu Malik, Randal C. Burns, Stratos Papadomanolakis, Anastassia Ailamaki A Workload-Driven Unit of Cache Replacement for Mid-Tier Database Caching. Search on Bibsonomy DASFAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Kyunghwa Kim, Junho Shim, Ig-hoon Lee Cache Conscious Trees: How Do They Perform on Contemporary Commodity Microprocessors? Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Xuemei Zhao, Karl Sammut, Fangpo He Performance Evaluation of a Novel CMP Cache Structure for Hybrid Workloads. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Michael Penner, Viktor K. Prasanna Cache-Friendly implementations of transitive closure. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Floyd-Warshall algorithm, systolic array algorithms, Data structures
31Michael Neve, Jean-Pierre Seifert Advances on Access-Driven Cache Attacks on AES. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Michael Bader, Christian E. Mayer Cache Oblivious Matrix Operations Using Peano Curves. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Ravishankar Rao, Justin Wenck, Diana Franklin, Rajeevan Amirtharajah, Venkatesh Akella Segmented Bitline Cache: Exploiting Non-uniform Memory Access Patterns. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Javed Absar, Francky Catthoor Analysis of scratch-pad and data-cache performance using statistical methods. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Milene Barbosa Carvalho, Luís F. W. Góes, Carlos Augusto Paiva da Silva Martins Dynamically reconfigurable cache architecture using adaptive block allocation policy. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Resit Sendag, Ayse Yilmazer, Joshua J. Yi, Augustus K. Uht Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31George Alyfantis, Stathes Hadjiefthymiades, Lazaros F. Merakos, Panagiotis Kostopoulos A Distributed Algorithm for Sharing Web Cache Disk Capacity. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Narottam Chand, Ramesh C. Joshi, Manoj Misra Efficient Cache Replacement in Mobile Environment Using Data Profit. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Hao-Ping Hung, Ming-Syan Chen RESP: Shortest-Path-Based Cache Replacement in a Transcoding Proxy. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Harini Ramaprasad, Frank Mueller 0001 Bounding Preemption Delay within Data Cache Reference Patterns for Real-Time Tasks. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Kyusik Chung, Chang-Hyo Yu, Lee-Sup Kim Vertex cache of programmable geometry processor for mobile multimedia application. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Manman Peng, Yuming Wang A Self-Tuning Algorithm for Managing Reconfigurable Cache. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31André Seznec, Roger Espasa Conflict-Free Accesses to Strided Vectors on a Banked Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vector microprocessor, strided vectors, conflict free access, L2 caches
31Hitoshi Oi On the design of the local variable cache in a hardware translation-based java virtual machine. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hardware-translation, memory hierarchy, java virtual machine
31Soraya Kasnavi, Paul Berube, Vincent C. Gaudet, José Nelson Amaral A Multizone Pipelined Cache for IP Routing. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IP Caching, Content Addressable Memory (CAM), IP lookup
31Mirko Loghi, Paolo Azzoni, Massimo Poncino Tag Overflow Buffering: An Energy-Efficient Cache Architecture. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Boris Quaing, Jie Tao 0001, Wolfgang Karl YACO: A User Conducted Visualization Tool for Supporting Cache Optimization. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Jun'ichi Tatemura Web Services Decomposition: edge Computing Architecture cache-friendly E-commerce Applications. Search on Bibsonomy DEEC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Claudiu Cobârzan Dynamic Proxy-Cache Multiplication Inside LANs. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Eriko Nurvitadhi, Nirut Chalainanont, Shih-Lien Lu Characterization of L3 cache behavior of SPECjAppServer2002 and TPC-C. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF L3 characterization, application server and OLTP, emulator
31Jie Tao 0001, Wolfgang Karl CacheIn: A Toolset for Comprehensive Cache Inspection. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31In-Chul Hwang, Hanjo Jung, Seung Ryoul Maeng, Jung Wan Cho Design and Implementation of the Home-Based Cooperative Cache for PVFS. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Mazen Kharbutli, Yan Solihin Counter-Based Cache Replacement Algorithms. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Pavel Tvrdík, Ivan Simecek A New Diagonal Blocking Format and Model of Cache Behavior for Sparse Matrices. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Tay Teng Tiow, Zhu Xiaoping A runtime auto scalable power-efficient instruction-cache design. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Min-wuk Lee, Byeong-Gyu Nam, Ju-Ho Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hoi-Jun Yoo A fixed-point 3D graphics library with energy-efficient cache architecture for mobile multimedia systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Lakshmish Ramaswamy, Ling Liu 0001, Arun Iyengar Cache Clouds: Cooperative Caching of Dynamic Documents in Edge Networks. Search on Bibsonomy ICDCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Rohan Samarasinghe, Yoshihiro Yasutake, Takaichi Yoshida Optimizing the Access Performance and Data Freshness of Distributed Cache Objects Considering User Access Pattern. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Kaustubh Patil, Kiran Seth, Frank Mueller 0001 Compositional static instruction cache simulation. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scheduling, real-time systems, caches, worst-case execution time
31Martin Schoeberl A Time Predictable Instruction Cache for a Java Processor. Search on Bibsonomy OTM Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Hui Song, Guohong Cao Cache-Miss-Initiated Prefetch in Mobile Environments. Search on Bibsonomy Mobile Data Management The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Kentaro Hamayasu, Vasily G. Moshnyaga Impact of Register-Cache Bandwidth Variation on Processor Performance. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Jung-Wook Park, Gi-Ho Park, Sung-Bae Park, Shin-Dug Kim Power-Aware Deterministic Block Allocation for Low-Power Way-Selective Cache Structure. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31John P. Sustersic, Ali R. Hurson A Quality of Service (QoS) Implementation of Internet Cache Coherence. Search on Bibsonomy AINA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Bryan Roger Buck, Jeffrey K. Hollingsworth Data Centric Cache Measurement on the Intel ltanium 2 Processor. Search on Bibsonomy SC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Elias Leontiadis, Vassilios V. Dimakopoulos, Evaggelia Pitoura Cache Updates in a Peer-to-Peer Network of Mobile Agents. Search on Bibsonomy Peer-to-Peer Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Amit Agarwal 0001, Kaushik Roy 0001, T. N. Vijaykumar Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Jiwei Lu, Howard Chen 0002, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux, Intel
31Kim M. Hazelwood, Michael D. Smith 0001 Generational Cache Management of Code Traces in Dynamic Optimization Systems. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury Accurate estimation of cache-related preemption delay. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF caches, multitasking, formal analysis, preemption
31E. Allen Emerson, Vineet Kahlon Rapid Parameterized Model Checking of Snoopy Cache Coherence Protocols. Search on Bibsonomy TACAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Hyokyung Bahn, Sam H. Noh Characterization of Web Reference Behavior Revisited: Evidence for Dichotomized Cache Management. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Zhi Zheng 0007, Tony K. Y. Chan Optimized Neighbour Prefetch and Cache for Client-server Based Walkthrough. Search on Bibsonomy CW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Gerth Stølting Brodal, Rolf Fagerberg Funnel Heap - A Cache Oblivious Priority Queue. Search on Bibsonomy ISAAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Kinuko Yasuda Cache Cooperation for Clustered Disconnected Computers. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami A Low Energy Set-Associative I-Cache with Extended BTB. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Huiyang Zhou, Mark C. Toburen, Eric Rotenberg, Thomas M. Conte Adaptive Mode Control: A Static-Power-Efficient Cache Design. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31José Aguilar 0001, Ernst L. Leiss A General Adaptive Cache Coherency-Replacement Scheme for Distributed Systems. Search on Bibsonomy IICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Hyunchul Kang, Sangmin Lim Bandwidth-Conserving Cache Validation Schemes in a Moblie Database System. Search on Bibsonomy Mobile Data Management The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Siddhartha Chatterjee, Erin Parker, Philip J. Hanlon, Alvin R. Lebeck Exact Analysis of the Cache Behavior of Nested Loops. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Hironori Komi, Antonio Ortega Analysis of Cache Efficiency in 2D Wavelet Transform. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Lubomir Ivanov, Ramakrishna Nunna Modeling and verification of cache coherence protocols. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Yong Woon Park, Kun Hyo Baek, Ki-Dong Chung Reducing Network Traffic Using Two-layered Cache Servers for Continuous Media Data on the Internet. Search on Bibsonomy COMPSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VoD, continuous media, proxy caching
31Jeffrey P. Bradford, Russell W. Quong An empirical study on how program layout affects cache miss rates. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Ravi R. Iyer 0001, Laxmi N. Bhuyan Switch Cache: A Framework for Improving the Remote Memory Access Latency of CC-NUMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Chi-Hung Chi, Jun-Li Yuan Design Considerations of High Performance Data Cache with Prefetching. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Srivatsan Srinivasan, Parminder Singh Chhabra, Praveen Kumar Jaini, Adnan Aziz, Lizy Kurian John Formal Verification of a Snoop-Based Cache Coherence Protocol Using Symbolic Model Checking. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Edith Cohen, Balachander Krishnamurthy, Jennifer Rexford Evaluating Server-Assisted Cache Replacement in the Web. Search on Bibsonomy ESA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Julio Sahuquillo, Ana Pont Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Yue Liu, David R. Kaeli Branch-Directed and Stride-Based Data Cache Prefetching. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Toni Juan, Tomás Lang, Juan J. Navarro The Difference-bit Cache. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Lishing Liu Partial address directory for cache access. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31Todd E. Rockoff SIMD Instruction Cache. Search on Bibsonomy SPAA The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31David F. Bacon, Jyh-Herng Chow, Dz-Ching Ju, Kalyan Muthukumar, Vivek Sarkar A compiler framework for restructuring data declarations to enhance cache and TLB effectiveness. Search on Bibsonomy CASCON The full citation details ... 1994 DBLP  BibTeX  RDF
31Robert J. T. Morris Analysis of Superposition of Streams into a Cache Buffer. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Tsang-Ling Sheu, Yuan-Bao Shieh, Woei Lin The selection of optimal cache lines for microprocessor-based controllers. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
31Anant Agarwal, Mark Horowitz, John L. Hennessy An Analytical Cache Model. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
31Dominique Thiébaut, Harold S. Stone Footprints in the Cache. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
31Linda Turpin Motivation for and current work on copaging cache (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
31Yingwu Zhu, Yiming Hu Exploiting client caches to build large Web caches. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hier-GD, Client cache, Latency gain, Infinite cache size, Cooperative proxy caching, Peer-to-peer, Proxy cache
31Witawas Srisa-an, Myra B. Cohen, Yu Shang, Mithuna Soundararaj A self-adjusting code cache manager to balance start-up time and memory usage. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF code cache, embedded systems, JIT
31Rasmus Pagh, Zhewei Wei, Ke Yi 0001, Qin Zhang 0001 Cache-oblivious hashing. Search on Bibsonomy PODS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hashing, cache-oblivious algorithms
31Bo Zhang 0016, Binoy Ravindran Brief announcement: queuing or priority queuing? on the design of cache-coherence protocols for distributed transactional memory. Search on Bibsonomy PODC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF distributed queuing, transactional memory, cache-coherence protocols
31Miquel Moretó, Francisco J. Cazorla, Rizos Sakellariou, Mateo Valero Load balancing using dynamic cache allocation. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp architectures, load balancing, cache partitioning
31Juan Castillo, Hector Posadas, Eugenio Villar, Marcos Martínez Fast instruction cache modeling for approximate timed HW/SW co-simulation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance estimation, cache modeling, electronic system level
31Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele Cache-aware timing analysis of streaming applications. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Timing analysis, Instruction cache, Streaming applications
31Dyer Rolán, Basilio B. Fraguela, Ramon Doallo Adaptive line placement with the set balancing cache. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, adaptivity, cache, balancing
31Hyun-Yong Noh, Se-Won Oh, Shin-Dug Kim A multi-level elaborate least frequently/recently used buffer cache for flash storage systems. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache, flash memory, buffer, SSD, flash translation layer, FTL, solid state drive
31Peyman Afshani, Chris H. Hamilton, Norbert Zeh A general approach for cache-oblivious range reporting and approximate range counting. Search on Bibsonomy SCG The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data structures, memory hierarchies, range searching, cache-obliviousness
31Andrew Herdrich, Ramesh Illikkal, Ravi R. Iyer 0001, Donald Newell, Vineet Chadha, Jaideep Moses Rate-based QoS techniques for cache/memory in CMP platforms. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF p-states, performance differentiation, t-states, cache, memory, rate control, qos, clock gating, frequency scaling, dvfs
31Xiao Zhang, Sandhya Dwarkadas, Kai Shen Towards practical page coloring-based multicore cache management. Search on Bibsonomy EuroSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF page coloring, resource management, multi-core, cache partitioning
31Jianhua Feng, Guoliang Li 0001, Na Ta 0001 A Semantic Cache Framework for Secure XML Queries. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF XML, XPath, semantic cache, computer software
31Ying Cai, Zhan Chen, Wallapak Tavanapong Caching collaboration and cache allocation in peer-to-peer video systems. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Peer-to-peer video services, File lookup, Caching collaboration, Cache allocation
31Sung Woo Chung, Kevin Skadron On-Demand Solution to Minimize I-Cache Leakage Energy with Maintaining Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-power design, Microprocessors, Cache memories, Energy-aware systems
31Wenzhong Li, Edward Chan, Yilin Wang, Daoxu Chen, Sanglu Lu Cache Placement Optimization in Hierarchical Networks: Analysis and Performance Evaluation. Search on Bibsonomy Networking The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hierarchical caching system, cache placement and replacement, cooperative caching
31Haïdar Safa, Hassan Artail, Mirna Nahhas Enhancing cache invalidation in mobile environments. Search on Bibsonomy Mobility Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobile computing, MANET, caching, cache invalidation
31Yen-Jen Chang Exploiting frequent opcode locality for power efficient instruction cache. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequent opcode locality, instruction cache, power-efficient
31Onur Aciiçmez, Werner Schindler A Vulnerability in RSA Implementations Due to Instruction Cache Analysis and Its Demonstration on OpenSSL. Search on Bibsonomy CT-RSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Instruction-Cache Attack, MicroArchitectural Analysis, RSA, Stochastic Process, Side Channel Analysis, Montgomery Multiplication
31Jaydeep Marathe, Frank Mueller 0001 Source-Code-Correlated Cache Coherence Characterization of OpenMP Benchmarks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, Cache memories, SMPs, program instrumentation, coherence protocols, dynamic binary rewriting
31Onur Aciiçmez Yet another MicroArchitectural Attack: : exploiting I-Cache. Search on Bibsonomy CSAW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MicroArchitectural analysis, RSA, side channel analysis, instruction cache, Montgomery Multiplication, modular exponentiation
31Jianhua Feng, Na Ta 0001, Yong Zhang 0002, Guoliang Li 0001 Exploit sequencing views in semantic cache to accelerate xpath query evaluation. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF XML, XPath, query evaluation, semantic cache
31Qing Li, Jianmin Zhao, Xinzhong Zhu Mobile Learning Support with Statistical Inference-Based Cache Management. Search on Bibsonomy ICWL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical caching, mobile devices, Mobile learning, data caching, cache management, mobile data management
31Gustavo Girão, Bruno Cruz de Oliveira, Rodrigo Soares, Ivan Saraiva Silva Cache coherency communication cost in a NoC-based MPSoC platform. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache coherence, MPSoC, NoC, directory
Displaying result #701 - #800 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license