|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8892 occurrences of 2725 keywords
|
|
|
Results
Found 10806 publication records. Showing 10806 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
25 | Mohamed F. Younis, Thomas J. Marlowe, Grace Tsai, Alexander D. Stoyenko |
Toward Compiler Optimization of Distributed Real-Time Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 2nd IEEE International Conference on Engineering of Complex Computer Systems (ICECCS '96), 21-25 October 1996, Montreal, Canada, pp. 35-42, 1996, IEEE Computer Society, 0-8186-7614-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
25 | Chi-Keung Luk, Todd C. Mowry |
Compiler-Based Prefetching for Recursive Data Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 222-233, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
25 | Amer Diwan, J. Eliot B. Moss, Richard L. Hudson |
Compiler Support for Garbage Collection in a Statically Typed Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'92 Conference on Programming Language Design and Implementation (PLDI), San Francisco, California, USA, June 17-19, 1992, pp. 273-282, 1992, ACM, 0-89791-475-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Modula-3 |
25 | David B. Wortman, Michael D. Junkin |
A Concurrent Compiler for Modula-2+. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'92 Conference on Programming Language Design and Implementation (PLDI), San Francisco, California, USA, June 17-19, 1992, pp. 68-81, 1992, ACM, 0-89791-475-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Modula-2 |
25 | R. Daniel Resler, Keith O'Sullivan |
VisiCLANG - a visible compiler for CLANG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 25(8), pp. 120-123, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
APL |
25 | M. P. Blivens, Mary Lou Soffa |
Reuse of Compiler Analysis in a Programming Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Computer Trends in the 1990s - Proceedings of the 1989 ACM 17th Annual Computer Science Conference, Louisville, Kentucky, USA, February 21-23, 1989, pp. 368-373, 1989, ACM, 0-89791-299-3. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
25 | Robert P. Colwell, Robert P. Nix, John J. O'Donnell, David B. Papworth, Paul K. Rodman |
A VLIW Architecture for a Trace Scheduling Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the Second International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS II), Palo Alto, California, USA, October 5-8, 1987., pp. 180-192, 1987, ACM Press, 0-8186-0805-6. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
25 | Laurian M. Chirica, David F. Martin |
Toward Compiler Implementation Correctness Proofs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 8(2), pp. 185-214, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
25 | Harbans L. Sathi |
A project-based course in compiler construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 17th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 1986, Cincinnati, Ohio, USA, February 6-7, 1986, pp. 114-119, 1986, ACM, 978-0-89791-178-8. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
25 | Ken'ichi Kakizaki, Kuniaki Uehara, Jun'ichi Toyoda |
Development of C-Prolog Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LP ![In: Logic Programming '86, Proceedings of the 5th Conference, Tokyo, Japan, June 23-26, 1986, pp. 126-136, 1986, Springer, 3-540-18024-9. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
25 | Hideaki Komatsu, Naoyuki Tamura, Yasuo Asakawa, Toshiaki Kurokawa |
An Optimizing Prolog Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LP ![In: Logic Programming '86, Proceedings of the 5th Conference, Tokyo, Japan, June 23-26, 1986, pp. 104-115, 1986, Springer, 3-540-18024-9. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
25 | George N. Baird, L. Arnold Johnson |
Compiler validation: an assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1981 National Computer Conference, 4-7 May 1981, Chicago, Illinois, USA, pp. 361-366, 1981, AFIPS Press, 978-1-4503-7921-2. The full citation details ...](Pics/full.jpeg) |
1981 |
DBLP DOI BibTeX RDF |
|
25 | Cornelis H. A. Koster |
CDL-A compiler implementation language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Methods of Algorithmic Language Implementation ![In: Methods of Algorithmic Language Implementation [Symposium, September 10-13, 1975, Novosibirsk, Russia, Proceedings], pp. 341-351, 1975, Springer, 3-540-08065-1. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
|
25 | Peter W. Shantz, R. A. German, J. G. Mitchell, R. S. K. Shirley, C. Robert Zarnke |
WATFOR - The University of Waterloo FORTRAN IV compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 10(1), pp. 41-44, 1967. The full citation details ...](Pics/full.jpeg) |
1967 |
DBLP DOI BibTeX RDF |
|
24 | Manuel Arenaz, Juan Touriño, Ramon Doallo |
XARK: An extensible framework for automatic recognition of computational kernels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 30(6), pp. 32:1-32:56, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Automatic kernel recognition, demand-driven algorithms, gated single assignment, use-def chains, symbolic analysis, strongly connected component |
24 | Michael Leuschel |
Towards Demonstrably Correct Compilation of Java Byte Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCO ![In: Formal Methods for Components and Objects, 7th International Symposium, FMCO 2008, Sophia Antipolis, France, October 21-23, 2008, Revised Lectures, pp. 119-138, 2008, Springer, 978-3-642-04166-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles |
Hardware atomicity for reliable software speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 174-185, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java, optimization, checkpoint, atomicity, speculation, isolation |
24 | Yi-Ping You, Chingren Lee, Jenq Kuen Lee |
Compilers for leakage power reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(1), pp. 147-164, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Compilers for low power, power-gating mechanisms, leakage-power reduction |
24 | Abhishek Das, William J. Dally, Peter R. Mattson |
Compiling for stream processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 33-42, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
SRF allocation, Stream Operation Precedence (SOP) graph, StreamC, coarse-grained operations, producer-consumer locality, scoreboard slot assignment, stream scheduling, strip-mining, software-pipelining, task level parallelism, stream programming model |
24 | Florian T. Schneider, Thomas R. Gross |
Using Platform-Specific Performance Counters for Dynamic Compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 18th International Workshop, LCPC 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers, pp. 334-346, 2005, Springer, 978-3-540-69329-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Chris Lattner, Vikram S. Adve |
LLVM: A Compilation Framework for Lifelong Program Analysis & Transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 2nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2004), 20-24 March 2004, San Jose, CA, USA, pp. 75-88, 2004, IEEE Computer Society, 0-7695-2102-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Tatiana Shpeisman, Guei-Yuan Lueh, Ali-Reza Adl-Tabatabai |
Just-In-Time Java? Compilation for the Itanium® Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA, pp. 249-258, 2002, IEEE Computer Society, 0-7695-1620-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Mads Sig Ager, Olivier Danvy, Mayer Goldberg |
A Symmetric Approach to Compilation and Decompilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
The Essence of Computation ![In: The Essence of Computation, Complexity, Analysis, Transformation. Essays Dedicated to Neil D. Jones [on occasion of his 60th birthday], pp. 296-331, 2002, Springer, 3-540-00326-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | William L. Harrison, Tim Sheard |
Dynamically Adaptable Software with Metacomputations in a Staged Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAIG ![In: Semantics, Applications, and Implementation of Program Generation, Second International Workshop, SAIG 2001, Florence, Italy, September 6, 2001, Proceedings, pp. 163-182, 2001, Springer, 3-540-42558-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
24 | Peter Grun, Nikil D. Dutt, Alexandru Nicolau |
Aggressive Memory-Aware Compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Memory Systems ![In: Intelligent Memory Systems, Second International Workshop, IMS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 147-151, 2000, Springer, 3-540-42328-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
24 | Hironori Kasahara, Motoki Obata, Kazuhisa Ishizaka |
Automatic Coarse Grain Task Parallel Processing on SMP Using OpenMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 13th International Workshop, LCPC 2000, Yorktown Heights, NY, USA, August 10-12, 2000, Revised Papers, pp. 189-207, 2000, Springer, 3-540-42862-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
24 | Maya B. Gokhale, Janice M. Stone |
Automatic Allocation of Arrays to Memories in FPGA Processors with Multiple Memory Banks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 21-23 April 1999, Napa, CA, USA, pp. 63-69, 1999, IEEE Computer Society, 0-7695-0375-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
24 | Richard Kelsey, William D. Clinger, Jonathan Rees |
Revised5 Report on the Algorithmic Language Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 33(9), pp. 26-76, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
SCHEME |
24 | Charles D. Norton |
The International Workshop on Parallel C++ (IWPC++), Kanazawa, Ishikawa Prefecture, Japan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 31(8), pp. 28-30, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
C++ |
23 | Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles |
Hardware Atomicity: An Effective Abstraction for Reliable Software Speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 28(1), pp. 21-31, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Java, optimization, architecture, compiler, checkpoint, atomicity, speculation, isolation, compiler-architecture interactions |
23 | Gwan-Hwan Hwang |
An Efficient Algorithm for Computing Communication Sets for Data Parallel Programs with Block-Cyclic Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 31st International Conference on Parallel Processing Workshops (ICPP 2002 Workshops), 20-23 August 2002, Vancouver, BC, Canada, pp. 623-632, 2002, IEEE Computer Society, 0-7695-1680-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
HPF Compiler, Block-Cyclic Distributions, Parallelizing Compiler, Distributed Memory Machines, Data Parallel Programs |
23 | Nick Benton, Chung-Kil Hur |
Biorthogonality, step-indexing and compiler correctness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceeding of the 14th ACM SIGPLAN international conference on Functional programming, ICFP 2009, Edinburgh, Scotland, UK, August 31 - September 2, 2009, pp. 97-108, 2009, ACM, 978-1-60558-332-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
biorthogonality, step-indexing, denotational semantics, proof assistants, compiler verification |
23 | Seyong Lee, Seung-Jai Min, Rudolf Eigenmann |
OpenMP to GPGPU: a compiler framework for automatic translation and optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2009, Raleigh, NC, USA, February 14-18, 2009, pp. 101-110, 2009, ACM, 978-1-60558-397-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
openmp, compiler optimization, gpu, cuda, automatic translation |
23 | Robert C. Kirby, Anders Logg |
Benchmarking Domain-Specific Compiler Optimizations for Variational Forms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 35(2), pp. 10:1-10:18, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FErari, FFC, complexity-reducing relations, variational form, optimization, compiler, Finite element method |
23 | Doosan Cho, Sudeep Pasricha, Ilya Issenin, Nikil D. Dutt, Yunheung Paek, SunJun Ko |
Compiler driven data layout optimization for regular/irregular array access patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 41-50, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
compiler, memory hierarchy, energy consumption, data placement |
23 | Marie-Hélène Nienaltowski, Michela Pedroni, Bertrand Meyer 0001 |
Compiler error messages: what can help novices? ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 39th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 2008, Portland, OR, USA, March 12-15, 2008, pp. 168-172, 2008, ACM, 978-1-59593-799-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
compiler error messages, novice programmers |
23 | Laurence D. Merkle |
Metaoptimization of the in-lining priority function for a compiler targeting a polymorphous computing architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO (Companion) ![In: Genetic and Evolutionary Computation Conference, GECCO 2008, Proceedings, Atlanta, GA, USA, July 12-16, 2008, Companion Material, pp. 1921-1928, 2008, ACM, 978-1-60558-131-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
polymorphous computing architectures, evolutionary computation, compiler optimization |
23 | Nick Benton, Uri Zarfaty |
Formalizing and verifying semantic type soundness of a simple compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPDP ![In: Proceedings of the 9th International ACM SIGPLAN Conference on Principles and Practice of Declarative Programming, July 14-16, 2007, Wroclaw, Poland, pp. 1-12, 2007, ACM, 978-1-59593-769-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
relational para-metricity, separation logic, proof assistants, compiler verification, type soundness |
23 | Elena Moscu Panainte, Koen Bertels, Stamatis Vassiliadis |
Interprocedural Compiler Optimization for Partial Run-Time Reconfiguration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 43(2-3), pp. 161-172, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
reconfiguration overhead, reconfigurable computing, compiler optimization |
23 | Juan Bicarregui, C. A. R. Hoare, J. C. P. Woodcock |
The verified software repository: a step towards the verifying compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects Comput. ![In: Formal Aspects Comput. 18(2), pp. 143-151, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Grand challenges in computer science, Dependable systems evolution, Software engineering, Tools, Program verification, Verified software repository, Verifying compiler, Assertional reasoning |
23 | Jerry Mead |
A compiler tutorial scaled for the programming languages course. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 37th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 2006, Houston, Texas, USA, March 3-5, 2006, pp. 32-36, 2006, ACM, 1-59593-259-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
object-oriented programming, programming languages, courseware, compiler design, educational projects |
23 | Wei Liu 0014, James Tuck 0001, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau, Josep Torrellas |
POSH: a TLS compiler that exploits program structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2006, New York, New York, USA, March 29-31, 2006, pp. 158-167, 2006, ACM, 1-59593-189-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
TLS compiler, profiling, prefetching, thread-level speculation, multi-core architecture |
23 | Saman P. Amarasinghe, Michael I. Gordon, Michal Karczmarek, Jasper Lin, David Maze, Rodric M. Rabbah, William Thies |
Language and Compiler Design for Streaming Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 33(2-3), pp. 261-278, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
tiled-processor architectures, productivity, parallelizing compiler, Stream computing, StreamIt |
23 | Sara Miner More, Tim Pevzner, Alin Deutsch, Scott B. Baden, Paul Kube |
Building an XQuery interpreter in a compiler construction course. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCSE ![In: Proceedings of the 36th SIGCSE Technical Symposium on Computer Science Education, SIGCSE 2005, St. Louis, Missouri, USA, February 23-27, 2005, pp. 2-6, 2005, ACM, 1-58113-997-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
XML, XQuery, compiler construction, capstone courses |
23 | David Lacey, Neil D. Jones, Eric Van Wyk, Carl Christian Frederiksen |
Compiler Optimization Correctness by Temporal Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
High. Order Symb. Comput. ![In: High. Order Symb. Comput. 17(3), pp. 173-206, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
model checking, temporal logic, optimizing compilers, compiler verification |
23 | Bo Wan, C.-J. Richard Shi |
Hierarchical Multi-Dimensional Table Lookup for Model Compiler Based Circuit Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1310-1315, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Model Compiler, Hierarchical Multi-dimensional Table Lookup, Optimization, Circuit Simulation, Abstract-Syntax-Tree |
23 | Federico Angiolini, Francesco Menichelli, Alberto Ferrero, Luca Benini, Mauro Olivieri |
A post-compiler approach to scratchpad mapping of code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2004, Washington DC, USA, September 22 - 25, 2004, pp. 259-267, 2004, ACM, 1-58113-890-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
executable patching, post-compiler processing, dynamic programming, memory hierarchy, optimization algorithm, design automation, power saving, scratchpad memory, embedded design |
23 | Saurabh Chheda, Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz |
Combining compiler and runtime IPC predictions to reduce energy in next generation architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 240-254, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fetch throttling, low power design, instruction level parallelism, compiler architecture interaction, adaptive voltage scaling |
23 | Heidi E. Ziegler, Mary W. Hall, Pedro C. Diniz |
Compiler-generated communication for pipelined FPGA applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 610-615, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping |
23 | Hillery C. Hunter, Wen-mei W. Hwu |
Code coverage and input variability: effects on architecture and compiler research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 79-87, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
architecture, compiler, benchmarks, DSP, telecommunications, code coverage |
23 | S. Tucker Taft |
Using Ada 95 in a compiler course. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGAda ![In: Proceedings ACM SIGAda Annual International Conference, SIGAda 2001, Twin Cities, Best Western The Thunderbird Hotel & Convention Center, Bloomington, MN, USA, September 30 - October 4, 2001., pp. 79-80, 2001, ACM, 1-58113-392-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Ada, Ada 95, course, compiler construction |
23 | Antonio Lain, Dhruva R. Chakrabarti, Prithviraj Banerjee |
Compiler and Run-Time Support for Exploiting Regularity within Irregular Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(2), pp. 119-135, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
compiler support, runtime compilation, iterative, distributed memory multicomputers, runtime support, Irregular applications |
23 | Chen Ding, Ken Kennedy |
The Memory Bandwidth Bottleneck and its Amelioration by a Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000, pp. 181-190, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
memory performance model, array reduction, store elimination, memory hierarchy, compiler optimizations, Memory bandwidth, loop fusion |
23 | Mahmut T. Kandemir, J. Ramanujam, Alok N. Choudhary |
Compiler Algorithms for Optimizing Locality and Parallelism on Shared and Distributed Memory Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), San Francisco, CA, USA, October 11-15, 1997, pp. 236-, 1997, IEEE Computer Society, 0-8186-8090-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
storage layout, SUN SPARCstation 5, IBM SP-2, SGI Challenge, Convex Exemplar, parallel architectures, parallel architectures, optimizing compilers, interprocessor communication, cache performance, distributed memory machines, shared memory machines, loop nests, data decomposition, compiler algorithms |
23 | Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park |
Eliminating Conditional Branches for Enhancing Instruction Level Parallelism in VLIW Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 193-199, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Compiler, Instruction Level Parallelism, VLIW, Superscalar, Conditional Branches |
23 | Roger A. Bringmann, Scott A. Mahlke, Wen-mei W. Hwu |
A study of the effects of compiler-controlled speculation on instruction and data caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 211-220, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
compiler-controlled speculation, nonnumeric programs, speculatively scheduled code, aggressive speculation models, scheduling, performance evaluation, parallel programming, time, instruction level parallelism, program compilers, data caches, cache storage, instruction cache, cache misses, performance results |
23 | Mark A. Nichols, Howard Jay Siegel, Henry G. Dietz |
Data Management and Control-Flow Aspects of an SIMD/SPMD Parallel Language/Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(2), pp. 222-234, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
control-flow aspects, SIMD/SPMD parallel language/compiler, explicitly parallel programming language, reconfigurable parallel processing systems, mode version, data-dependent control-flow, PE-address-dependent control-flow, parallel machine prototype, parallel programming, database management systems, data management, program compilers, parallel languages, processing elements, single program-multiple data |
23 | Hoichi Cheong |
Life span strategy - a compiler-based approach to cache coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 139-148, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
compiler-based cache coherence, fast selective invalidation, inter-task-level temporal locality, life span strategy, parallel task execution, simple invalidation, time-stamp approach, version control, Doacross loop |
23 | Gerald W. Neufeld, Yueli Yang |
The Design and Implementation of an ASN.1-C Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(10), pp. 1209-1220, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
abstract syntax notation one, ASN.1-C compiler, international standard specification, transfer-syntax, basic encoding rules, external data representation, ED library, CASN1, design, user interface, protocol, protocols, standards, C, implementation, encoding, program compilers, decoding, decoding |
23 | Shi-Kuo Chang |
A Visual Language Compiler for Information Retrieval by Visual Reasoning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(10), pp. 1136-1149, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
visual language compiler, SIL-ICON, fuzzy icon grammar, fuzzy visual query translation, VisualNet, VisualReasoner, information retrieval, information retrieval, database, data structures, visual programming, visual language, program compilers, data visualization, semantic model, prototype system, data objects, visual reasoning |
23 | Abdul Sattar 0002, Torben Lorenzen |
Develop a compiler in Java for a compiler design course. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGCSE Bull. ![In: ACM SIGCSE Bull. 39(2), pp. 80-82, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Jasmin, complier, recursive descent parser, Java, Java, JVM |
23 | Philipp Lucas 0001, Nicolas Fritz, Reinhard Wilhelm |
The CGiS Compiler-A Tool Demonstration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 15th International Conference, CC 2006, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2006, Vienna, Austria, March 30-31, 2006, Proceedings, pp. 105-108, 2006, Springer, 3-540-33050-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Nathaniel Nystrom, Michael R. Clarkson, Andrew C. Myers |
Polyglot: An Extensible Compiler Framework for Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 12th International Conference, CC 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 138-152, 2003, Springer, 3-540-00904-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | C. A. R. Hoare |
The Verifying Compiler: A Grand Challenge for Computing Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 12th International Conference, CC 2003, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7-11, 2003, Proceedings, pp. 262-272, 2003, Springer, 3-540-00904-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Björn Franke, Michael F. P. O'Boyle |
Compiler Transformation of Pointers to Explicit Array Accesses in DSP Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 10th International Conference, CC 2001 Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2001 Genova, Italy, April 2-6, 2001, Proceedings, pp. 69-85, 2001, Springer, 3-540-41861-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Dae-Hwan Kim |
Advanced Compiler Optimization for Calm RISC8 Low-End Embedded Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 9th International Conference, CC 2000, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000, Berlin, Germany, March 25 - April 2, 2000, Proceedings, pp. 173-188, 2000, Springer, 3-540-67263-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Mikael Pettersson |
A Compiler for Natural Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 6th International Conference, CC'96, Linköping, Sweden, April 24-26, 1996, Proceedings, pp. 177-191, 1996, Springer, 3-540-61053-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
tailcalls, continuations, natural semantics, determinacy |
23 | Siegfried Benkner, Peter Brezany, Hans P. Zima |
Processing Array Statements and Procedure Interfaces in the PREPARE High Performance Fortran Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 5th International Conference, CC'94, Edinburgh, UK, April 7-9, 1994, Proceedings, pp. 324-338, 1994, Springer, 3-540-57877-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
23 | Beate Baum |
Another Kind of Modular Attribute Grammars. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 4th International Conference on Compiler Construction, CC'92, Paderborn, Germany, October 5-7, 1992, Proceedings, pp. 44-50, 1992, Springer, 3-540-55984-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
23 | Andrei Mantsivoda, Vyacheslav Petukhin |
Compiling Flang. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 4th International Conference on Compiler Construction, CC'92, Paderborn, Germany, October 5-7, 1992, Proceedings, pp. 297-311, 1992, Springer, 3-540-55984-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
23 | Arnd Poetzsch-Heffter |
Implementing High-Level Identification Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 4th International Conference on Compiler Construction, CC'92, Paderborn, Germany, October 5-7, 1992, Proceedings, pp. 59-65, 1992, Springer, 3-540-55984-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
23 | Jan Vitek, R. Nigel Horspool, James S. Uhl |
Compile-Time Analysis of Object-Oriented Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 4th International Conference on Compiler Construction, CC'92, Paderborn, Germany, October 5-7, 1992, Proceedings, pp. 236-250, 1992, Springer, 3-540-55984-1. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
23 | Mikael Pettersson |
Generating Efficient Code from Continuation Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Compilers, Third International Workshop on Compiler Construction, CC'90, Schwerin, Germany, October 22-26, 1990, Proceedings, pp. 165-178, 1990, Springer, 3-540-53669-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Martin Jourdan, Didier Parigot |
Application Development with the FNC-2 Attribute Grammar System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Compilers, Third International Workshop on Compiler Construction, CC'90, Schwerin, Germany, October 22-26, 1990, Proceedings, pp. 11-25, 1990, Springer, 3-540-53669-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
23 | Jorma Tarhio |
A Compiler Generator for Attributive Evaluation During LR Parsing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Compilers and High Speed Compilation, 2nd CCHSC Workshop, Berlin GDR, October 10-14, 1988, Proceedings, pp. 146-159, 1988, Springer, 3-540-51364-7. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
22 | Yang Chen, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Liang Peng, Olivier Temam, Chengyong Wu |
Evaluating iterative optimization across 1000 datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2010 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2010, Toronto, Ontario, Canada, June 5-10, 2010, pp. 448-459, 2010, ACM, 978-1-4503-0019-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
benchmarking, compiler optimization, iterative optimization |
22 | Xuejun Yang, Yu Deng 0001, Li Wang 0027, Xiaobo Yan, Jing Du 0002, Ying Zhang 0032, Guibin Wang, Tao Tang 0001 |
SRF Coloring: Stream Register File Allocation via Graph Coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 24(1), pp. 152-164, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
SRF coloring, stream register file, memory management, compiler optimization, graph coloring, stream processor |
22 | Javier de Dios, Ricardo Peña-Marí |
Formal Certification of a Resource-Aware Language Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TPHOLs ![In: Theorem Proving in Higher Order Logics, 22nd International Conference, TPHOLs 2009, Munich, Germany, August 17-20, 2009. Proceedings, pp. 196-211, 2009, Springer, 978-3-642-03358-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
memory management, functional languages, compiler verification |
22 | Nalini Vasudevan, Stephen A. Edwards |
Celling SHIM: compiling deterministic concurrency to a heterogeneous multicore. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 1626-1631, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
SHIM, parallelism, compiler, concurrency, cell processor |
22 | Koji Kagawa |
WAPPEN: a web-based application framework for programming and its bison/flex plug-in. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 14th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 2009, Paris, France, July 6-9, 2009, pp. 383, 2009, ACM, 978-1-60558-381-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
web, programming languages, eclipse, compiler construction |
22 | Xun Yi, Raylin Tso, Eiji Okamoto |
ID-Based Group Password-Authenticated Key Exchange. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSEC ![In: Advances in Information and Computer Security, 4th International Workshop on Security, IWSEC 2009, Toyama, Japan, October 28-30, 2009, Proceedings, pp. 192-211, 2009, Springer, 978-3-642-04845-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
protocol compiler, common reference model, group key agreement, Password-authenticated key exchange |
22 | Dietmar Ebner, Florian Brandner, Bernhard Scholz, Andreas Krall, Peter Wiedermann, Albrecht Kadlec |
Generalized instruction selection using SSA-graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 31-40, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
pbqp, compiler, code generation, instruction selection |
22 | Lennart C. L. Kats, Martin Bravenboer, Eelco Visser |
Mixing source and bytecode: a case for compilation by normalization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 23rd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2008, October 19-23, 2008, Nashville, TN, USA, pp. 91-108, 2008, ACM, 978-1-60558-215-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
dryad compiler, source tracing, java, compilers, domain-specific languages, iterators, meta programming, language extensions, bytecode, traits, embedded languages, SDF, stratego |
22 | Matthew M. Papi, Michael D. Ernst |
Compile-time type-checking for custom type qualifiers in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2007, October 21-25, 2007, Montreal, Quebec, Canada, pp. 809-810, 2007, ACM, 978-1-59593-865-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java, verification, compiler, annotation, type system, type qualifier, NonNull, javac |
22 | Matthew M. Papi, Michael D. Ernst |
Compile-time type-checking for custom type qualifiers in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2007, October 21-25, 2007, Montreal, Quebec, Canada, pp. 809-810, 2007, ACM, 978-1-59593-865-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java, verification, compiler, annotation, type system, type qualifier, NonNull, javac |
22 | Michel Abdalla, Jens-Matthias Bohli, María Isabel González Vasco, Rainer Steinwandt |
(Password) Authenticated Key Establishment: From 2-Party to Group. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TCC ![In: Theory of Cryptography, 4th Theory of Cryptography Conference, TCC 2007, Amsterdam, The Netherlands, February 21-24, 2007, Proceedings, pp. 499-514, 2007, Springer, 3-540-70935-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
protocol compiler, common reference string model, key establishment, password-based authentication |
22 | Hanno Scharwächter, Jonghee M. Youn, Rainer Leupers, Yunheung Paek, Gerd Ascheid, Heinrich Meyr |
A code-generator generator for multi-output instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 131-136, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
ISS, code-selection, compiler/architecture co-design, ASIP |
22 | Feihui Li, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu |
Profile-driven energy reduction in network-on-chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, San Diego, California, USA, June 10-13, 2007, pp. 394-404, 2007, ACM, 978-1-59593-633-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
routing, compiler, Network-on-Chip, power |
22 | Angel Dominguez, Nghi Nguyen, Rajeev Barua |
Recursive function data allocation to scratch-pad memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 65-74, 2007, ACM. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
profile dependance, embedded systems, compiler, memory allocation, recursive functions, scratch-pad memory |
22 | John Cavazos, Michael F. P. O'Boyle |
Method-specific dynamic compilation using logistic regression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2006, October 22-26, 2006, Portland, Oregon, USA, pp. 229-240, 2006, ACM, 1-59593-348-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Jikes RVM, Java, machine learning, compiler optimization, logistic regression |
22 | Samuel Z. Guyer, Kathryn S. McKinley, Daniel Frampton |
Free-Me: a static analysis for automatic individual object reclamation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, Ottawa, Ontario, Canada, June 11-14, 2006, pp. 364-375, 2006, ACM, 1-59593-320-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
compiler-assisted, adaptive, locality, generational, liveness, pointer analysis, copying, mark-sweep |
22 | Jun Xia, Li Luo, Xuejun Yang |
A 0-1 Integer Linear Programming Based Approach for Global Locality Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 281-294, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
compiler optimizations, integer linear programming, loop transformations, data transformations, Cache locality, memory layouts |
22 | Stephen Hines, David B. Whalley, Gary S. Tyson |
Adapting compilation techniques to enhance the packing of instructions into registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 43-53, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
instruction packing, instruction register file, compiler optimizations |
22 | Seung Woo Son 0001, Mahmut T. Kandemir |
Energy-aware data prefetching for multi-speed disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 105-114, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multi-speed disks, low power, prefetching, optimizing compiler |
22 | Xiaobing Feng 0002, Li Chen, Yi-Ran Wang 0001, Xiao-Mi An, Lin Ma, Chun-Lei Sang, Zhaoqing Zhang |
Integrating Parallelizing Compilation Technologies for SMP Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(1), pp. 125-133, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
optimization, compiler, parallel system |
22 | J. Eliot B. Moss, Trek S. Palmer, Timothy Richards, Edward K. Walters II, Charles C. Weems |
CISL: A Class-Based Machine Description Language for Co-Generation of Compilers and Simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 33(2-3), pp. 231-246, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
simulator, compiler, Language design, instruction set architecture, machine description |
22 | Heidi E. Ziegler, Mary W. Hall |
Evaluating heuristics in automatically mapping multi-loop applications to FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005, pp. 184-195, 2005, ACM, 1-59593-029-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping, hardware design |
22 | Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin |
Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 87-92, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
data compression, chip multiprocessors, optimizing compiler |
22 | Feihui Li, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy |
Exploiting last idle periods of links for network power management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th ACM International Conference On Embedded Software, Proceedings, pp. 134-137, 2005, ACM, 1-59593-091-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
compiler, interconnection network, energy optimization |
22 | Qing Yi, Ken Kennedy, Vikram S. Adve |
Transforming Complex Loop Nests for Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 27(3), pp. 219-264, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
complex loop structure, linear algebra kernels, compiler optimization, loop transformation |
|
|