The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Maxence Bouvier, Alexandre Valentian, Gilles Sicard Scalable Pitch-Constrained Neural Processing Unit for 3D Integration with Event-Based Imagers. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anup Gangwar, Ravishankar Sreedharan, Ambica Prasad, Nitin Kumar Agarwal, Sri Harsha Gade Topology Agnostic Virtual Channel Assignment and Protocol Level Deadlock Avoidance in a Network-on-Chip. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Min Li 0019, Yu Li 0007, Ye Tian 0010, Li Jiang 0002, Qiang Xu 0001 AppealNet: An Efficient and Highly-Accurate Edge/Cloud Collaborative Architecture for DNN Inference. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Napat Thumwanit, Chayaphol Lortaraprasert, Rudy Raymond Invited: Trainable Discrete Feature Embeddings for Quantum Machine Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Benjamin Tan 0001, Siddharth Garg, Ramesh Karri, Yuntao Liu 0001, Michael Zuzak, Abhisek Chakraborty, Ankur Srivastava 0001, Omid Aramoon, Qian Xu, Gang Qu 0001, Adam A. Porter, Jeno Szep, Warren Savage Invited: Independent Verification and Validation of Security-Aware EDA Tools and IP. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Antonio Cipolletta, Andrea Calimera On The Efficiency of Sparse-Tiled Tensor Graph Processing For Low Memory Usage. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Martin Rapp, Mohammed Bakr Sikal, Heba Khdr, Jörg Henkel SmartBoost: Lightweight ML-Driven Boosting for Thermally-Constrained Many-Core Processors. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Santosh Shetty, Benjamin Carrión Schäfer Enabling the Design of Behavioral Systems-on-Chip. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jinwei Liu, Gengjie Chen, Evangeline F. Y. Young REST: Constructing Rectilinear Steiner Minimum Tree via Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yun Chou, Jhih-Wei Hsu, Yao-Wen Chang, Tung-Chieh Chen VLSI Structure-aware Placement for Convolutional Neural Network Accelerator Units. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Haowen Fang, Brady Taylor, Ziru Li, Zaidao Mei, Hai Helen Li, Qinru Qiu Neuromorphic Algorithm-hardware Codesign for Temporal Pattern Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Daniele Cattaneo 0002, Michele Chiari, Nicola Fossati, Stefano Cherubin, Giovanni Agosta Architecture-aware Precision Tuning with Multiple Number Representation Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pu Zhao 0001, Geng Yuan, Yuxuan Cai, Wei Niu 0002, Qi Liu 0017, Wujie Wen, Bin Ren, Yanzhi Wang, Xue Lin Neural Pruning Search for Real-Time Object Detection of Autonomous Vehicles. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xiaofan Zhang 0001, Dawei Wang, Pierce Chuang, Shugao Ma, Deming Chen, Yuecheng Li F-CAD: A Framework to Explore Hardware Accelerators for Codec Avatar Decoding. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rachmad Vidya Wicaksana Putra, Muhammad Shafique 0001 SpikeDyn: A Framework for Energy-Efficient Spiking Neural Networks with Continual and Unsupervised Learning Capabilities in Dynamic Environments. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhuo Feng SGL: Spectral Graph Learning from Measurements. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0069, Shaahin Angizi, Deliang Fan Max-PIM: Fast and Efficient Max/Min Searching in DRAM. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hao Chen 0059, Keren Zhu 0001, Mingjie Liu, Xiyuan Tang, Nan Sun 0001, David Z. Pan Universal Symmetry Constraint Extraction for Analog and Mixed-Signal Circuits with Graph Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zihao Zeng, Chubo Liu, Zhuo Tang, Wanli Chang 0001, Kenli Li 0001 Training Acceleration for Deep Neural Networks: A Hybrid Parallelization Strategy. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wanli Chang 0001, Shuai Zhao 0004, Simon Burton 0001, Haitong Wang, Ting Chen 0002, Nan Chen, Neil C. Audsley Invited: Hardware/Software Co-Synthesis and Co-Optimization for Autonomous Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Omais Shafi, Ismi Abidi CuckoOnsai: An Efficient Memory Authentication Using Amalgam of Cuckoo Filters and Integrity Trees. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yutong Ye, Wupan Zhao, Tongquan Wei, Shiyan Hu, Mingsong Chen FedLight: Federated Reinforcement Learning for Autonomous Multi-Intersection Traffic Signal Control. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Manoj Pravakar Saha, Adnan Maruf, Bryan S. Kim, Janki Bhimani KV-SSD: What Is It Good For? Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dewen Zeng, Yukun Ding, Haiyun Yuan, Meiping Huang, Xiaowei Xu 0004, Jian Zhuang, Jingtong Hu, Yiyu Shi 0001 Invited: Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Haoxing Ren, Matthew Fojtik Invited- NVCell: Standard Cell Layout in Advanced Technology Nodes with Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Daniel Volya, Prabhat Mishra 0001 Quantum Spectral Clustering of Mixed Graphs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jacob Laurel, Rem Yang, Atharva Sehgal, Shubham Ugare, Sasa Misailovic Statheros: Compiler for Efficient Low-Precision Probabilistic Programming. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mustafa S. Gobulukoglu, Colin Drewes, William Hunter, Ryan Kastner, Dustin Richmond Classifying Computations on Multi-Tenant FPGAs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chenyang Li, Tian Xia 0008, Wenzhe Zhao, Nanning Zheng 0001, Pengju Ren SpV8: Pursuing Optimal Vectorization and Regular Computation Pattern in SpMV. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yuhong Song, Weiwen Jiang, Bingbing Li, Panjie Qi, Qingfeng Zhuge, Edwin Hsing-Mean Sha, Sakyasingha Dasgupta, Yiyu Shi 0001, Caiwen Ding Dancing along Battery: Enabling Transformer with Run-time Reconfigurability on Mobile Devices. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zili Kou, Wenjian He, Sharad Sinha, Wei Zhang 0012 Load-Step: A Precise TrustZone Execution Control Framework for Exploring New Side-channel Attacks Like Flush+Evict. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Salim Ullah, Siva Satyendra Sahoo, Akash Kumar 0001 CLAppED: A Design Framework for Implementing Cross-Layer Approximation in FPGA-based Embedded Systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yongchen Wang, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 PixelSieve: Towards Efficient Activity Analysis From Compressed Video Streams. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sean Shang-En Tseng, Iris Hui-Ru Jiang, James P. Shiely Subresolution Assist Feature Insertion by Variational Adversarial Active Learning and Clustering with Data Point Retrieval. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rassul Bairamkulov, Abinash Roy, Mali Nagarajan, Vaishnav Srinivas, Eby G. Friedman SPROUT - Smart Power ROUting Tool for Board-Level Exploration and Prototyping. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guoqi Xie, Debayan Roy, Yawen Zhang, Renfa Li, Wanli Chang 0001 Obfuscated Priority Assignment to CAN-FD Messages with Dependencies: A Swapping-based and Affix-Matching Approach. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hyein Shin, Myeonggu Kang, Lee-Sup Kim Fault-free: A Fault-resilient Deep Neural Network Accelerator based on Realistic ReRAM Devices. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sören Tempel, Vladimir Herdt, Rolf Drechsler Towards Reliable Spatial Memory Safety for Embedded Software by Combining Checked C with Concolic Testing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Saptadeep Pal, Jingyang Liu, Irina Alam, Nicholas Cebry, Haris Suhail, Shi Bu, Subramanian S. Iyer, Sudhakar Pamarti, Rakesh Kumar 0002, Puneet Gupta 0001 Designing a 2048-Chiplet, 14336-Core Waferscale Processor. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhenge Jia, Feng Hong, Lichuan Ping, Yiyu Shi 0001, Jingtong Hu Enabling On-Device Model Personalization for Ventricular Arrhythmias Detection by Generative Adversarial Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi-Chen Lu, Siddhartha Nath, Vishal Khandelwal, Sung Kyu Lim RL-Sizer: VLSI Gate Sizing for Timing Optimization using Deep Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dongning Ma, Jianmin Guo, Yu Jiang 0001, Xun Jiao HDTest: Differential Fuzz Testing of Brain-Inspired Hyperdimensional Computing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, December 5-9, 2021 Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Saransh Gupta, Tajana Simunic Rosing Invited: Accelerating Fully Homomorphic Encryption with Processing in Memory. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Michael Zuzak, Yuntao Liu 0001, Ankur Srivastava 0001 A Resource Binding Approach to Logic Obfuscation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Muhammad Shafique 0001, Theocharis Theocharides, Vijay Janapa Reddi, Boris Murmann TinyML: Current Progress, Research Challenges, and Future Roadmap. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yosuke Ueno, Masaaki Kondo, Masamitsu Tanaka, Yasunari Suzuki, Yutaka Tabuchi QECOOL: On-Line Quantum Error Correction with a Superconducting Decoder for Surface Code. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Thai-Hoang Nguyen, Muhammad Imran 0010, Jaehyuk Choi 0001, Joon-Sung Yang Low-Cost and Effective Fault-Tolerance Enhancement Techniques for Emerging Memories-Based Deep Neural Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nathaniel Bleier, John Sartori, Rakesh Kumar 0002 Property-driven Automatic Generation of Reduced-ISA Hardware. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rajat Sadhukhan, Sayandeep Saha, Debdeep Mukhopadhyay Shortest Path to Secured Hardware: Domain Oriented Masking with High-Level-Synthesis. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rozhin Yasaei, Shih-Yuan Yu, Emad Kasaeyan Naeini, Mohammad Abdullah Al Faruque GNN4IP: Graph Neural Network for Hardware Intellectual Property Piracy Detection. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1António Gusmão 0001, Nuno Horta, Nuno Lourenço 0003, Ricardo Martins 0003 Late Breaking Results: Attention in Graph2Seq Neural Networks towards Push-Button Analog IC Placement. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Changlong Li, Liang Shi, Chun Jason Xue MobileSwap: Cross-Device Memory Swapping for Mobile Devices. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vidushi Goyal, Valeria Bertacco, Reetuparna Das MyML: User-Driven Machine Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Fabrizio Ferrandi, Vito Giovanni Castellana, Serena Curzel, Pietro Fezzardi, Michele Fiorito, Marco Lattuada 0001, Marco Minutoli, Christian Pilato, Antonino Tumeo Invited: Bambu: an Open-Source Research Framework for the High-Level Synthesis of Complex Applications. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hyojin Choi, In Huh, Seungju Kim, Jeonghoon Ko, Changwook Jeong, Hyeonsik Son, Kiwon Kwon, Joonwan Chai, Younsik Park, Jaehoon Jeong, Daesin Kim, Jung Yun Choi Application of Deep Reinforcement Learning to Dynamic Verification of DRAM Designs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nguyen-Dong Ho, Ik-Joon Chang TCL: an ANN-to-SNN Conversion with Trainable Clipping Layers. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bosheng Liu, Zhuoshen Jiang, Jigang Wu, Xiaoming Chen 0003, Yinhe Han 0001, Peng Liu 0045 F3D: Accelerating 3D Convolutional Neural Networks in Frequency Space Using ReRAM. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nanyang Ye 0001, Jingbiao Mei, Zhicheng Fang, Yuwen Zhang, Ziqing Zhang, Huaying Wu, Xiaoyao Liang BayesFT: Bayesian Optimization for Fault Tolerant Neural Network Architecture. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jianqi Zhao 0001, Yao Wen, Yuchen Luo, Zhou Jin 0001, Weifeng Liu 0002, Zhenya Zhou SFLU: Synchronization-Free Sparse LU Factorization for Fast Circuit Simulation on GPUs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xinhan Lin, Liang Sun, Fengbin Tu, Leibo Liu, Xiangyu Li, Shaojun Wei, Shouyi Yin ADROIT: An Adaptive Dynamic Refresh Optimization Framework for DRAM Energy Saving In DNN Training. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Seongsik Park, Dongjin Lee, Sungroh Yoon Noise-Robust Deep Spiking Neural Networks with Temporal Information. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jing Cao, Zirui Lian, Weihong Liu, Zongwei Zhu, Cheng Ji HADFL: Heterogeneity-aware Decentralized Federated Learning Framework. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xinyu Chen 0001, Hongshi Tan, Yao Chen 0008, Bingsheng He, Weng-Fai Wong, Deming Chen Skew-Oblivious Data Routing for Data Intensive Applications on FPGAs with HLS. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kathleen E. Hamilton, Emily Lynn, Tyler Kharazi, Titus Morris, Ryan S. Bennink, Raphael C. Pooser Building scalable variational circuit training for machine learning tasks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sai Kiran Cherupally, Adnan Siraj Rakin, Shihui Yin, Mingoo Seok, Deliang Fan, Jae-sun Seo Leveraging Noise and Aggressive Quantization of In-Memory Computing for Robust DNN Hardware Against Adversarial Input and Weight Attacks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jun Shiomi, Shuya Kotsugi, Boyu Dong, Hidetoshi Onodera, Akihiko Shinya, Masaya Notomi Tamper-Resistant Optical Logic Circuits Based on Integrated Nanophotonics. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sandro M. Marques, Thiarles S. Medeiros, Fábio Diniz Rossi, Marcelo Caggiani Luizelli, Antonio Carlos Schneider Beck, Arthur Francisco Lorenzon Synergically Rebalancing Parallel Execution via DCT and Turbo Boosting. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alberto Parravicini, Luca Giuseppe Cellamare, Marco Siracusa, Marco D. Santambrogio Scaling up HBM Efficiency of Top-K SpMV for Approximate Embedding Similarity on FPGAs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vijay Kandiah, Ali Murat Gök, Georgios Tziantzioulis, Nikos Hardavellas ST2 GPU: An Energy-Efficient GPU Design with Spatio-Temporal Shared-Thread Speculative Adders. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lei Xie, Jidong Zhai, Weimin Zheng Mitigating Crosstalk in Quantum Computers through Commutativity-Based Instruction Reordering. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yungang Pan, Zhiping Jia, Zhaoyan Shen, Bingzhe Li, Wanli Chang 0001, Zili Shao Reinforcement Learning-Assisted Cache Cleaning to Mitigate Long-Tail Latency in DM-SMR. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuwen Deng, Jakub Szefer New Predictor-Based Attacks in Processors. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shaoshan Liu, Bo Yu 0014, Jie Tang 0003, Qi Zhu Invited: Towards Fully Intelligent Transportation through Infrastructure-Vehicle Cooperative Autonomous Driving: Challenges and Opportunities. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yi Wang 0003, Weixuan Chen, Xianhua Wang, Rui Mao 0001 LolliRAM: A Cross-Layer Design to Exploit Data Locality in Oblivious RAM. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yijiang Guo, Jiarui Wang, Jiaxi Zhang 0001, Guojie Luo Formulating Data-arrival Synchronizers in Integer Linear Programming for CGRA Mapping. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pantea Kiaei, Cees-Bart Breunesse, Mohsen Ahmadi, Patrick Schaumont, Jasper Van Woudenberg Rewrite to Reinforce: Rewriting the Binary to Apply Countermeasures against Fault Injection. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gaurav Kolhe, Soheil Salehi, Tyler David Sheaves, Houman Homayoun, Setareh Rafatirad, Sai Manoj P. D., Avesta Sasan Securing Hardware via Dynamic Obfuscation Utilizing Reconfigurable Interconnect and Logic Blocks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Srinivas Bodapati, Pushkar Ranade, Ramune Nagisetty Convergence of SoC architecture and semiconductor manufacturing through AI/ML systems. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pramesh Pandey, Noel Daniel Gundi, Koushik Chakraborty, Sanghamitra Roy UPTPU: Improving Energy Efficiency of a Tensor Processing Unit through Underutilization Based Power-Gating. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hebi Li, Youbiao He, Qi Xiao, Jin Tian 0001, Forrest Sheng Bao BHDL: A Lucid, Expressive, and Embedded Programming Language and System for PCB Designs. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Daniel J. Fremont, Alberto L. Sangiovanni-Vincentelli, Sanjit A. Seshia Safety in Autonomous Driving: Can Tools Offer Guarantees? Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chen-Hao Hsu, Wan-Hsuan Lin, Wei-Hsiang Tseng, Yao-Wen Chang A Bridge-based Compression Algorithm for Topological Quantum Circuits. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ghasem Pasandi, Massoud Pedram qSeq: Full Algorithmic and Tool Support for Synthesizing Sequential Circuits in Superconducting SFQ Technology. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kaichen Yang, Xuan-Yi Lin, Yixin Sun, Tsung-Yi Ho, Yier Jin 3D-Adv: Black-Box Adversarial Attacks against Deep Learning Models through 3D Sensors. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lei He 0016, Cheng Liu 0008, Ying Wang 0001, Shengwen Liang, Huawei Li 0001, Xiaowei Li 0001 GCiM: A Near-Data Processing Accelerator for Graph Construction. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peng Zou, Zhifeng Lin, Chenyue Ma, Jun Yu 0010, Jianli Chen Late Breaking Results: Incremental 3D Global Routing Considering Cell Movement. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chen-Yang Tsai, Chin-Fu Nien, Tz-Ching Yu, Hung-Yu Yeh, Hsiang-Yun Cheng RePIM: Joint Exploitation of Activation and Weight Repetitions for In-ReRAM DNN Acceleration. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Keerthikumara Devarajegowda, Endri Kaja, Sebastian Siegfried Prebeck, Wolfgang Ecker ISA Modeling with Trace Notation for Context Free Property Generation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Febin Sunny, Asif Mirza, Mahdi Nikdast, Sudeep Pasricha CrossLight: A Cross-Layer Optimized Silicon Photonic Neural Network Accelerator. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shunning Jiang, Yanghui Ou, Peitian Pan, Christopher Batten UMOC: Unified Modular Ordering Constraints to Unify Cycle- and Register-Transfer-Level Modeling. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tao Zhang, Jungmin Park, Mark M. Tehranipoor, Farimah Farahmandi PSC-TG: RTL Power Side-Channel Leakage Assessment with Test Pattern Generation. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ding-Hao Wang, Pei-Ju Lin, Hui-Ting Yang, Ching-An Hsu, Sin-Han Huang, Mark Po-Hung Lin A Novel Machine-Learning based SoC Performance Monitoring Methodology under Wide-Range PVT Variations with Unknown Critical Paths. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mengdi Wang, Ying Wang 0001, Cheng Liu 0008, Lei Zhang 0008 Network-on-Interposer Design for Agile Neural-Network Processor Chip Customization. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Song Bian 0001, Weiwen Jiang, Takashi Sato Privacy-Preserving Medical Image Segmentation via Hybrid Trusted Execution Environment. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mohsen Hassanpourghadi, Shiyu Su, Rezwan A. Rasul, Juzheng Liu, Qiaochu Zhang, Mike Shuo-Wei Chen Circuit Connectivity Inspired Neural Network for Analog Mixed-Signal Functional Modeling. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Christian Hakert, Asif Ali Khan, Kuan-Hsun Chen, Fazal Hameed, Jerónimo Castrillón, Jian-Jia Chen BLOwing Trees to the Ground: Layout Optimization of Decision Trees on Racetrack Memory. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yixin Guo, Pengcheng Li 0001, Yingwei Luo, Xiaolin Wang 0001, Zhenlin Wang GRAPHSPY: Fused Program Semantic Embedding through Graph Neural Networks for Memory Efficiency. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Junde Li, Mahabubul Alam, Congzhou M. Sha, Jian Wang 0094, Nikolay V. Dokholyan, Swaroop Ghosh Invited: Drug Discovery Approaches using Quantum Machine Learning. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Konstantinos Touloupas, Nikos Chouridis, Paul P. Sotiriadis Local Bayesian Optimization For Analog Circuit Sizing. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 8394 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license