The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DFT with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1982 (22) 1983-1985 (18) 1986-1988 (27) 1989-1990 (20) 1991-1992 (19) 1993 (68) 1994 (66) 1995 (85) 1996 (82) 1997 (78) 1998 (82) 1999 (107) 2000 (108) 2001 (127) 2002 (141) 2003 (177) 2004 (165) 2005 (190) 2006 (216) 2007 (189) 2008 (214) 2009 (173) 2010 (170) 2011 (129) 2012 (161) 2013 (70) 2014 (163) 2015 (89) 2016 (106) 2017 (134) 2018 (113) 2019 (139) 2020 (111) 2021 (116) 2022 (114) 2023 (144) 2024 (24)
Publication types (Num. hits)
article(1278) book(2) data(1) incollection(4) inproceedings(2831) phdthesis(8) proceedings(33)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1714 occurrences of 747 keywords

Results
Found 4157 publication records. Showing 4157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Novel High Speed Robust Latch. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Syed Zafar Shazli, Mehdi Baradaran Tahoori Transient Error Detection and Recovery in Processor Pipelines. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Adit D. Singh A Defect Tolerant and Performance Tunable Gate Architecture for End-of-Roadmap CMOS. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Improving the Detectability of Resistive Open Faults in Scan Cells. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Ryoji Noji, Satoshi Fujie, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue Reliability and Performance Analysis of FPGA-Based Fault Tolerant System. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Milos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici Optimization of Nanoelectronic Systems Reliability Under Massive Defect Density Using Distributed R-fold Modular Redundancy (DRMR). Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yuu Maeda, Haruhiko Kaneko Error Control Coding for Multilevel Cell Flash Memories Using Nonbinary Low-Density Parity-Check Codes. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Martin Omaña 0001, Marcin Marzencki, Roberto Specchia, Cecilia Metra, Bozena Kaminska Concurrent Detection of Faults Affecting Energy Harvesting Circuits of Self-Powered Wearable Sensors. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yu Liu, Kaijie Wu 0001 An ILP formulation to Unify Power Efficiency and Fault Detection at Register-Transfer Level. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Souheib Baarir, Cécile Braunstein, Renaud Clavel, Emmanuelle Encrenaz, Jean-Michel Ilié, Régis Leveugle, Isabelle Mounier, Laurence Pierre, Denis Poitrenaud Complementary Formal Approaches for Dependability Analysis. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27George J. Starr, Jie Qin, Bradley F. Dutton, Charles E. Stroud, Foster F. Dai, Victor P. Nelson Automated Generation of Built-In Self-Test and Measurement Circuitry for Mixed-Signal Circuits and Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Jenny Leung, Glenn H. Chapman, Israel Koren, Zahava Koren Characterization of Gain Enhanced In-Field Defects in Digital Imagers. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Salvatore Pontarelli, Gian Carlo Cardarilli, Marco Re, Adelio Salsano Error Correction Codes for SEU and SEFI Tolerant Memory Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli, Mark Hampton, Florian Letombe On the Functional Qualification of a Platform Model. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Bo Fu, Paul Ampadu Burst Error Detection Hybrid ARQ with Crosstalk-Delay Reduction for Reliable On-chip Interconnects. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Hyoung-Kook Kim, Wen-Ben Jone, Laung-Terng Wang Analysis of Resistive Open Defects in a Synchronizer. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Fabrizio Castro, Antonio Miele A Fault Analysis and Classifier Framework for Reliability-Aware SRAM-Based FPGA Systems. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Nader Alawadhi, Ozgur Sinanoglu Improving the Effectiveness of XOR-based Decompressors through Horizontal/Vertical Move of Stimulus Fragments. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Unni Chandran, Dan Zhao Thermal Driven Test Access Routing in Hyper-interconnected Three-Dimensional System-on-Chip. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Improving Memory Repair by Selective Row Partitioning. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Sandeep P. Kumar Low DPM: Why Do We Need it and What Does it Cost! Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Meng Zhang 0017, Anita Lungu, Daniel J. Sorin Analyzing Formal Verification and Testing Efforts of Different Fault Tolerance Mechanisms. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Nor Zaidi Haron, Said Hamdioui Using RRNS Codes for Cluster Faults Tolerance in Hybrid Memories. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yusuke Fukushima, Masaru Fukushi, Susumu Horiguchi Fault-Tolerant Routing Algorithm for Network on Chip without Virtual Channels. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Hans-Joachim Wunderlich Software-Based Hardware Fault Tolerance for Many-Core Architectures. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Yiorgos Makris Workload-Cognizant Impact Analysis and its Applications in Error Detection and Tolerance in Modern Microprocessors. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Joon-Sung Yang, Benoit Nadeau-Dostie, Nur A. Touba Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Michael Campbell The Future of Test - Product Integration and its Impact on Test. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Bradley F. Dutton, Charles E. Stroud Soft Core Embedded Processor Based Built-In Self-Test of FPGAs. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Mingjing Chen, Alex Orailoglu Flip-Flop Hardening and Selection for Soft Error and Delay Fault Resilience. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Stefano Di Carlo, Nadereh Hatami, Paolo Prinetto, Alessandro Savino System Level Testing via TLM 2.0 Debug Transport Interface. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Zahra Mashreghian Arani, Masoud Hashempour, Fabrizio Lombardi Coded DNA Self-Assembly for Error Detection/Location. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Yong-Bin Kim, Dimitris Gizopoulos, Mohammad Tehranipoor (eds.) 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 1-3 October 2008, Boston, MA, USA Search on Bibsonomy DFT The full citation details ... 2008 DBLP  BibTeX  RDF
27Rui Gong, Kui Dai, Zhiying Wang 0003 A Framework to Evaluate the Trade-off among AVF Performance and Area of Soft Error Tolerant Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Manoj Kumar Goparaju, Ashok Kumar Palaniswamy, Spyros Tragoudas A Fault Tolerance Aware Synthesis Methodology for Threshold Logic Gate Networks. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yukiya Miura, Jiro Kato Diagnosis of Analog Circuits by Using Multiple Transistors and Data Sampling. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jae-Young Choi, Yoon-Hwa Choi Fault Detection of Bloom Filters for Defect Maps. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Antonio Miele Design Space Exploration for the Design of Reliable. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Waleed K. Al-Assadi, Sindhu Kakarla A BIST Technique for Crosstalk Noise Detection in FPGAs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ilia Polian, Sudhakar M. Reddy, Irith Pomeranz, Xun Tang, Bernd Becker 0001 On Reducing Circuit Malfunctions Caused by Soft Errors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Rajsekhar Adapa, Spyros Tragoudas Prioritization of Paths for Diagnosis. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27David Wolpert 0001, Paul Ampadu A Low-Power Safety Mode for Variation Tolerant Systems-on-Chip. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Nimay Shah, Rupak Samanta, Ming Zhang, Jiang Hu, Duncan Walker Built-In Proactive Tuning System for Circuit Aging Resilience. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hamed Tabkhi, Seyed Ghassem Miremadi, Alireza Ejlali An Asymmetric Checkpointing and Rollback Error Recovery Scheme for Embedded Processors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Vikas Chandra, Robert C. Aitken Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Osnat Keren, Ilya Levin, Vladimir Ostrovsky, Beni Abramov Arbitrary Error Detection in Combinational Circuits by Using Partitioning. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Abhisek Pan, James W. Tschanz, Sandip Kundu A Low Cost Scheme for Reducing Silent Data Corruption in Large Arithmetic Circuit. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kazuteru Namba, Hideo Ito Delay Fault Testability on Two-Rail Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Franco Fummi, Davide Quaglia, Francesco Stefanni Network Fault Model for Dependability Assessment of Networked Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Material Fatigue and Reliability of MEMS Accelerometers. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kevin Sliech, Martin Margala A Digital BIST for Phase-Locked Loops. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Francesco Abate, Massimo Violante Coping with Obsolescence of Processor Cores in Critical Applications. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michail Maniatakos, Naghmeh Karimi, Yiorgos Makris, Abhijit Jas, Chandra Tirumurti Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Laura Frigerio, Matteo Alan Radaelli, Fabio Salice A Generalized Approach for the Use of Convolutional Coding in SEU Mitigation. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Zahi S. Abuhamdeh A Case Study of ATPG Delay Path Performance Based on Measured Power Rail Integrity. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Salvatore Pontarelli, Gian Carlo Cardarilli, Marco Re, Adelio Salsano A Novel Error Detection and Correction Technique for RNS Based FIR Filters. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Carlos Arthur Lang Lisbôa, Luigi Carro XOR-based Low Cost Checkers for Combinational Logic. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yoshiaki Asao, Masayoshi Iwayama, Kenji Tsuchida, Akihiro Nitayama, Hiroaki Yoda, Hisanori Aikawa, Sumio Ikegawa, Tatsuya Kishi A Statistical Model for Assessing the Fault Tolerance of Variable Switching Currents for a 1Gb Spin Transfer Torque Magnetoresistive Random Access Memory. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Prashant D. Joshi Error Detect Logic Resulting in Faster Address Generate and Decode for Caches. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Francesco Regazzoni 0001, Thomas Eisenbarth 0001, Luca Breveglieri, Paolo Ienne, Israel Koren Can Knowledge Regarding the Presence of Countermeasures Against Fault Attacks Simplify Power Attacks on Cryptographic Devices?. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Shuangyu Ruan, Kazuteru Namba, Hideo Ito Soft Error Hardened FF Capable of Detecting Wide Error Pulse. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Xiaoxiao Wang 0001, Hassan Salmani, Mohammad Tehranipoor, James F. Plusquellic Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Cecilia Metra, Martin Omaña 0001, T. M. Mak, Asifur Rahman, Simon Tam 0001 Novel On-Chip Clock Jitter Measurement Scheme for High Performance Microprocessors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Stephen Frechette, Yong-Bin Kim, Fabrizio Lombardi Checkpointing of Rectilinear Growth in DNA Self-Assembly. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Muhammad Ibrahim, Ahsan Raja Chowdhury, Hafiz Md. Hasan Babu Minimization of CTS of k-CNOT Circuits for SSF and MSF Model. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hyunbean Yi, Sandip Kundu Core Test Wrapper Design to Reduce Test Application Time for Modular SoC Testing. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Timothy J. Dysart, Peter M. Kogge System Reliabilities When Using Triple Modular Redundancy in Quantum-Dot Cellular Automata. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jenny Leung, Glenn H. Chapman, Israel Koren, Zahava Koren Automatic Detection of In-field eld Defect Growth in Image Sensors. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Joon-Sung Yang, Nur A. Touba Enhancing Silicon Debug via Periodic Monitoring. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michael T. Niemier, Michael Crocker, Xiaobo Sharon Hu Fabrication Variations and Defect Tolerance for Nanomagnet-Based QCA. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Julien Vial, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Using TMR Architectures for Yield Improvement. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Konstantin Likharev Defect-Tolerant Hybrid CMOS/Nanoelectronic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ilia Polian, Wenjing Rao Selective Hardening of NanoPLA Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Mahdi Fazeli, Seyed Ghassem Miremadi A Power Efficient Masking Technique for Design of Robust Embedded Systems against SEUs and SET. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi A Tile-Based Error Model for Forward Growth of DNA Self-Assembly. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Santiago Remersaro, Janusz Rajski, Thomas Rinderknecht, Sudhakar M. Reddy, Irith Pomeranz ATPG Heuristics Dependant Observation Point Insertion for Enhanced Compaction and Data Volume Reduction. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27John E. Savage Computing at the Nanoscale. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Nilanjan Banerjee, Charles Augustine, Kaushik Roy 0001 Fault-Tolerance with Graceful Degradation in Quality: A Design Methodology and Its Application to Digital Signal Processing Systems. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Zachary D. Patitz, Nohpill Park Modeling and Evaluation of Threshold Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Qiaoyan Yu, Paul Ampadu Adaptive Error Control for NoC Switch-to-Switch Links in a Variable Noise Environment. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Shubu Mukherjee Architectural Vulnerability Factor (or, does a soft error matter?). Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Xiaojun Ma, Fabrizio Lombardi Fault Tolerant Schemes for QCA Systems. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yiwen Shi, Kellie DiPalma, Jennifer Dworak Efficient Determination of Fault Criticality for Manufacturing Test Set Optimization. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Yoonjae Huh, Yoon-Hwa Choi Module Grouping for Defect Tolerance in Nanoscale Memory. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Andrey V. Zykov, Gustavo de Veciana Exploring Density-Reliability Tradeoffs on Nanoscale Substrates: When do smaller less reliable devices make sense?. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Oscar Kuiken, Xiao Zhang 0002, Hans G. Kerkhoff Built-in-Self-Diagnostics for a NoC-Based Reconfigurable IC for Dependable Beamforming Applications. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Kartik Mohanram Error Detection and Tolerance for Scaled Electronic Technologies. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Glenn H. Chapman, Vijay K. Jain Defect Tolerance for a Capacitance Based Nanoscale Biosensor. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Syed Zafar Shazli, Mehdi Baradaran Tahoori Obtaining Microprocessor Vulnerability Factor Using Formal Methods. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Phil Nigh The Evolving Role of Test ... it is now a "Value Add" Operation. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Cristiana Bolchini, Yong-Bin Kim, Adelio Salsano, Nur A. Touba (eds.) 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 26-28 September 2007, Rome, Italy. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy A-Diagnosis: A Complement to Z-Diagnosis. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Monica Alderighi, Fabio Casini, Sergio D'Angelo, Marcello Mancini, Sandro Pastore, Giacomo R. Sechi, Roland Weigand Evaluation of Single Event Upset Mitigation Schemes for SRAM Based FPGAs Using the FLIPPER Fault Injection Platform. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Haruhiko Kaneko, Eiji Fujiwara Reconstruction of Erasure Correcting Codes for Dependable Distributed Storage System without Spare Disks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Sybille Hellebrand, Christian G. Zoellin, Hans-Joachim Wunderlich, Stefan Ludwig, Torsten Coym, Bernd Straube A Refined Electrical Model for Particle Strikes and its Impact on SEU Prediction. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Young Bok Kim, Yong-Bin Kim Fault Tolerant Source Routing for Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Riccardo Mariani A Functional Verification Based Fault Injection Environment. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 4157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license