The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "EURO-DAC"( http://dblp.L3S.de/Venues/EURO-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/eurodac

Publication years (Num. hits)
1990 (121) 1991 (101) 1992 (121) 1993 (91) 1994 (107) 1995 (94) 1996 (87)
Publication types (Num. hits)
inproceedings(715) proceedings(7)
Venues (Conferences, Journals, ...)
EURO-DAC(722)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 55 keywords

Results
Found 722 publication records. Showing 722 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Andrzej Krasniewski Design for verification testability. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Denis Deschacht, P. Pinede, Michel Robert, Daniel Auvergne Path runner: an accurate and fast timing analyser. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Norman F. Kelly, Holly E. Stump Software architecture of universal hardware modeler. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Jung-Gen Wu Automatic knowledge acquisition in a digital circuit design system. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Shao-Jun Wei, Jacques Leroy, Raymond Crappe An efficient two-dimensional compaction algorithm for VLSI symbolic layout. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Peter C. Maxwell, Hans-Joachim Wunderlich The effectiveness of different test sets for PLAs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Michael Zimmermann, Manfred Geilert Generation of embedded RAMs with built-in test using object-oriented programming. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Gabriele Saucier, Pascal Sicard, Laurent Bouchet Multi-level synthesis on PALs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Heinrich Krämer, Wolfgang Rosenstiel System synthesis using behavioural descriptions. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Daniel Fischer, Yossi Levhari, Gadi Singer NETHDL: abstraction of schematics to high-level HDL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Daniel K. Beece, Robert F. Damiano, Georgina Papp, R. Schoen The EVE companion simulator. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Siegfried I. Mensch, Hans Martin Lipp Fuzzy specification of finite state machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1D. F. Burrows The use of computer-aided software engineering technology in systems and software design. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF CAD, SYSTEMS, CASE, MIXED-SIGNAL
1Gabriele Saucier, Christopher Duff, Franck Poirot State assignment of controllers for optimal area implementation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Eric William Burger, Guido Dedene Economics of point accelleration. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF CAD Development Methodology, Economics of CAD Systems, Routing Accelerators, Total CAD Systems, Logic Synthesis, Simulation Accelerators
1Michel R. C. M. Berkelaar, Jochen A. G. Jess Gate sizing in MOS digital circuits with linear programming. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Jörn Garbers, Bernhard Korte, Hans Jürgen Prömel, E. Schwietzke, Angelika Steger VLSI: placement based on routing and timing information. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Petra Drescher, Julia Miller, Gerhard Schulz Design management within a design environment. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Ranga Vemuri On the notion of the normal form register-level structures and its applications in design-space exploration. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Yang Cai 0003, D. F. Wong 0001 Optimal via-shifting in channel compaction. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1C. O. Newton, M. G. Hill Comparison of implementations of real arithmetic in ELLA and VHDL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
1Thomas H. Krodel, Kurt Antreich An accurate model for ambiguity delay simulation. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #722 of 722 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license