The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IOLTS"( http://dblp.L3S.de/Venues/IOLTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iolts

Publication years (Num. hits)
2003 (47) 2004 (45) 2005 (67) 2006 (58) 2007 (59) 2008 (59) 2009 (54) 2010 (55) 2011 (58) 2012 (40) 2013 (55) 2014 (47) 2015 (44) 2016 (58) 2017 (61) 2018 (64) 2019 (70) 2020 (46) 2021 (33) 2022 (38) 2023 (36)
Publication types (Num. hits)
inproceedings(1073) proceedings(21)
Venues (Conferences, Journals, ...)
IOLTS(1094)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 39 occurrences of 34 keywords

Results
Found 1094 publication records. Showing 1094 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Raul Chipana, Letícia Maria Veiras Bolzani, Fabian Vargas 0001, Jorge Semião, Juan J. Rodríguez-Andina, Isabel C. Teixeira, João Paulo Teixeira 0001 Investigating the Use of BICS to detect resistive-open defects in SRAMs. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Anna Vaskova, Celia López-Ongil, Alejandro Jiménez-Horas, Enrique San Millán, Luis Entrena Robust cryptographic ciphers with on-line statistical properties validation. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michelangelo Grosso, Matteo Sonza Reorda, Marta Portela-García, Mario García-Valderas, Celia López-Ongil, Luis Entrena An on-line fault detection technique based on embedded debug features. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 16th IEEE International On-Line Testing Symposium (IOLTS 2010), 5-7 July, 2010, Corfu, Greece Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  BibTeX  RDF
1Navid Farazmand, Masoud Zamani, Mehdi Baradaran Tahoori Online fault testing of reversible logic using dual rail coding. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xavier Vera, Jaume Abella 0001, Javier Carretero, Pedro Chaparro, Antonio González 0001 Online error detection and correction of erratic bits in register files. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Eberhard Böhl, Paul Duplys Nonlinear compression functions using the MISR approach for security purposes in automotive applications. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michelangelo Grosso, Matteo Sonza Reorda Exploiting embedded FPGA in on-line software-based test strategies for microprocessor cores. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Elie Maricau, Georges G. E. Gielen A methodology for measuring transistor ageing effects towards accurate reliability simulation. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Marc Hunger, Sybille Hellebrand, Alejandro Czutro, Ilia Polian, Bernd Becker 0001 ATPG-based grading of strong fault-secureness. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Massimo Violante, M. L. Esposti A low-cost solution for developing reliable Linux-based space computers for on-board data handling. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Steffen Zeidler 0001, Marcus Ehrig, Milos Krstic, Michael Augustin, Christoph Wolf, Rolf Kraemer Ultra low cost asynchronous handshake checker. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vikas Chandra Designing dependable multicore system with unreliable components. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Samary Baranov, Ilya Levin, Osnat Keren, Mark G. Karpovsky Designing fault tolerant FSM by nano-PLA. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jorge Semião, Judit Freijedo, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Delay-fault tolerance to power supply Voltage disturbances analysis in nanometer technologies. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Pedro Reviriego, Juan Antonio Maestro, Anne O'Donnell, Chris J. Bleakley Soft error detection and correction for FFT based convolution using different block lengths. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1José F. da Rocha, Nuno Dias, Angelo Monteiro, Alexandre Neves, Gabriel Santos, Marcelino B. Santos, João Paulo Teixeira 0001 Controllability and observability in mixed signal cores. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dan Alexandrescu, Anne-Lise Lhomme-Perrot, Erwin Schäfer, Cyrille Beltrando Highs and lows of radiation testing. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Xavier Vera DFx for massively multiprocessors. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Abhijit Chatterjee, Jacob A. Abraham, Adit D. Singh, Elie Maricau, Rakesh Kumar 0002, Christos A. Papachristou Panel: Realistic low power design: Let errors occur and correct them later or mitigate errors via design guardbanding and process control?. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rodrigo Possamai Bastos, Yannick Monnet, Gilles Sicard, Fernanda Lima Kastensmidt, Marc Renaudin, Ricardo Reis 0001 Comparing transient-fault effects on synchronous and on asynchronous circuits. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Amit Dutta, Malav Shah, G. Swathi, Rubin A. Parekhji Design techniques and tradeoffs in implementing non-destructive field test using logic BIST self-test. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ioannis Voyiatzis, Dimitris Gizopoulos, Antonis M. Paschalis An Input Vector Monitoring Concurrent BIST scheme exploiting . Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Niccolò Battezzati, Filomena Decuzzi, Massimo Violante, Michel Briet Application-oriented SEU sensitiveness analysis of Atmel rad-hard FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Guillaume Hubert, Raoul Velazco, Paul Peronnard A generic platform for remote accelerated tests and high altitude SEU experiments on advanced ICs: Correlation with MUSCA SEP3 calculations. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Gilles Bizot, Nacer-Eddine Zergainoh, Michael Nicolaidis Variability and reliability-aware application tasks scheduling and power control (Voltage and Frequency Scaling) in the future nanoscale multiprocessors system on chip. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1C. Guardiani, A. Shibkov, Angelo Brambilla, Giancarlo Storti Gajani, Davide Appello, Fausto Piazza, Paolo Bernardi An I-IP based approach for the monitoring of NBTI effects in SoCs. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michael Richter 0002, Michael Gössel Concurrent checking with split-parity codes. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Junfeng Fan, Miroslav Knezevic, Dusko Karaklajic, Roel Maes, Vladimir Rozic, Lejla Batina, Ingrid Verbauwhede FPGA-based testing strategy for cryptographic chips: A case study on Elliptic Curve Processor for RFID tags. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yuriy Shiyanovskii, Francis G. Wolff, Christos A. Papachristou SRAM cell design using tri-state devices for SEU protection. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Houssein Jaber, Fabrice Monteiro, Abbas Dandache An effective fast and small-area parallel-pipeline architecture for OTM-convolutional encoders. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kenneth M. Zick, John P. Hayes On-line characterization and reconfiguration for single event upset variations. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mario García-Valderas, Marta Portela-García, Celia López-Ongil, Luis Entrena In-depth analysis of digital circuits against soft errors for selective hardening. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hafizur Rahaman 0001, Jimson Mathew, Abusaleh M. Jabir, Dhiraj K. Pradhan C-testable S-box implementation for secure advanced encryption standard. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Gian Carlo Cardarilli, Marco Re, Adelio Salsano Error detection in addition chain based ECC Point Multiplication. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 24-26 June 2009, Sesimbra-Lisbon, Portugal Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  BibTeX  RDF
1Eleftherios Kolonis, Michael Nicolaidis, Dimitris Gizopoulos, Mihalis Psarakis, Jacques Henri Collet, Piotr Zajac Enhanced self-configurability and yield in multicore grids. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fabian Vargas 0001, Claudia A. Rocha, Bernardo Pianta, Marta Portela-García, Celia López-Ongil, Mario García-Valderas, Luis Entrena Briefing power/reliability optimization in embedded software design. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Antonin Bougerol, Florent Miller, Nadine Buard Novel DRAM mitigation technique. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Costas Argyrides, Carlos Arthur Lang Lisbôa, Dhiraj K. Pradhan, Luigi Carro A fast error correction technique for matrix multiplication algorithms. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dominik Lorenz, Georg Georgakos, Ulf Schlichtmann Aging analysis of circuit timing considering NBTI and HCI. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Abbas Ramazani, Mohsin Amin, Fabrice Monteiro, Camille Diou, Abbas Dandache A fault tolerant journalized stack processor architecture. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1José Rodrigo Azambuja, Fernando Sousa, Lucas Rosa, Fernanda Lima Kastensmidt Evaluating large grain TMR and selective partial reconfiguration for soft error mitigation in SRAM-based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jose Luis Garcia-Gervacio, Víctor H. Champac Detectability analysis of small delays due to resistive opens considering process variations. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alejandro Jiménez-Horas, Enrique San Millán, Celia López-Ongil, Marta Portela-García, Mario García-Valderas, Luis Entrena Pseudo-random number generation applied to robust modern cryptography: A new technique for block ciphers. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Caroline Concatto, Pedro Almeida, Fernanda Lima Kastensmidt, Érika F. Cota, Marcelo Lubaszewski, Marcos Hervé Improving yield of torus nocs through fault-diagnosis-and-repair of interconnect faults. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1André V. Fidalgo, Gustavo R. Alves, Manuel C. Felgueiras, Manuel G. Gericota Using test infrastructures for (remote) online evaluation of the sensitivity to SEUs of FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Paolo Rech, Simone Gerardin, Alessandro Paccagnella, Paolo Bernardi, Michelangelo Grosso, Matteo Sonza Reorda, Davide Appello Evaluating Alpha-induced soft errors in embedded microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sebastià A. Bota, Gabriel Torrens, Bartomeu Alorda Critical charge characterization in 6-T SRAMs during read mode. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Julio César Vázquez, Víctor H. Champac, Adriel Ziesemer, Ricardo Reis 0001, Isabel C. Teixeira, Marcelino B. Santos, João Paulo Teixeira 0001 Built-in aging monitoring for safety-critical applications. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alireza Namazi, Yasser Sedaghat, Seyed Ghassem Miremadi, Alireza Ejlali A low-cost fault-tolerant technique for Carry Look-Ahead adder. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zhen Wang 0001, Mark G. Karpovsky, Berk Sunar Multilinear codes for robust error detection. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Paul Duplys, Eberhard Böhl Linear and nonlinear MISR operations for safety and security in automotive applications. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Shih-Hsin Hu, Jacob A. Abraham Error detection in 2-D Discrete Wavelet lifting transforms. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ashkan Eghbal, Pooria M. Yaghini, Hossein Pedram, Hamid R. Zarandi Fault injection-based evaluation of a synchronous NoC router. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Régis Leveugle Towards automated fault pruning with Petri Nets. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jayaram Natarajan, Gokul Kumar, Shreyas Sen, Muhammad Mudassar Nisar, Deuk Lee, Abhijit Chatterjee Aggressively voltage overscaled adaptive RF systems using error control at the bit and symbol levels. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Pablo Maqueda, Josep Rius 0001 Analysis of the extra delay on interconnects caused by resistive opens and shorts. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Carmela Noro Grando, Carlos Arthur Lang Lisbôa, Álvaro Freitas Moreira, Luigi Carro Invariant checkers: An efficient low cost technique for run-time transient errors detection. Search on Bibsonomy IOLTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Rob Aitken Special Session 4: Reliability and Circuit Simulation. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kiamal Z. Pekmestzi, Nicholas Axelos, Isidoros Sideris, Nikos K. Moshopoulos A BISR Architecture for Embedded Memories. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1M. Kiran Kumar Reddy, Bharadwaj S. Amrutur, Rubin A. Parekhji False Error Study of On-line Soft Error Detection Mechanisms. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Costas Argyrides, Fabian Vargas 0001, Marlon Moraes, Dhiraj K. Pradhan Embedding Current Monitoring in H-Tree RAM Architecture for Multiple SEU Tolerance and Reliability Improvement. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Muhammad Mudassar Nisar, Abhijit Chatterjee Guided Probabilistic Checksums for Error Control in Low Power Digital-Filters. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Shi-Jie Wen, Dan Alexandrescu, Renaud Perez A Systematical Method of Quantifying SEU FIT. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Niccolò Battezzati, Simone Gerardin, Andrea Manuzzato, Alessandro Paccagnella, Sana Rezgui, Luca Sterpone, Massimo Violante On the Evaluation of Radiation-Induced Transient Faults in Flash-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Tino Heijmen Soft-Error Vulnerability of Sub-100-nm Flip-Flops. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Michael Nicolaidis Special Session 2: Benchmarking and Standardization in Software-Based SER Characterization: Towards an IEEE Task Force? Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ashish Darbari, Bashir M. Al-Hashimi, Peter Harrod, Daryl Bradley A New Approach for Transient Fault Injection Using Symbolic Simulation. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Philippe Roche, Mark Lysinger, Gilles Gasiot, Jean-Marc Daveau, Mehdi Zamanian, Pierre Dautriche Growing Interest of Advanced Commercial CMOS Technologies for Space and Medical Applications. Illustration with a New Nano-Power and Radiation-Hardened SRAM in 130nm CMOS. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jimson Mathew, Jawar Singh, Anas Abu Taleb, Dhiraj K. Pradhan Fault Tolerant Reversible Finite Field Arithmetic Circuits. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Michel Pignol, Thierry Parrain, Vincent Claverie, Christian Boléat, Guy Estaves Development of a Testbench for Validation of DMT and DT2 Fault-Tolerant Architectures on SOI PowerPC7448. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Piotr Gawkowski, Janusz Sosnowski Developing Fault Injection Environment for Complex Experiments. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Cyril Excoffon, Régis Leveugle Software Self-Testing of a Symmetric Cipher with Error Detection Capability. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nachiketa Das, Pranab Roy, Hafizur Rahaman 0001 On Line Testing of Single Feedback Bridging Fault in Cluster Based FPGA by Using Asynchronous Element. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Fatemeh Refan, Homa Alemzadeh, Saeed Safari, Paolo Prinetto, Zainalabedin Navabi Reliability in Application Specific Mesh-Based NoC Architectures. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Naghmeh Karimi, Soheil Aminzadeh, Saeed Safari, Zainalabedin Navabi A Novel GA-Based High-Level Synthesis Technique to Enhance RT-Level Concurrent Testing. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Heinrich Theodor Vierhaus Basic Architecture for Logic Self Repair. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Marc Hunger, Sybille Hellebrand Verification and Analysis of Self-Checking Properties through ATPG. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Salvatore Pontarelli, Gian Carlo Cardarilli, Marco Re, Adelio Salsano Totally Fault Tolerant RNS Based FIR Filters. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Yang Zhao 0001, Krishnendu Chakrabarty On-Line Testing of Lab-on-Chip Using Digital Microfluidic Compactors. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Yuriy Shiyanovskii, Francis G. Wolff, Christos A. Papachristou SRAM Cell Design Protected from SEU Upsets. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Andreas Merentitis, George Theodorou, Mihalis Giorgaras, Nektarios Kranitis Directed Random SBST Generation for On-Line Testing of Pipelined Processors. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ramtilak Vemu, Jacob A. Abraham Budget-Dependent Control-Flow Error Detection. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Michael Richter 0002, Klaus Oberländer, Michael Gössel New Linear SEC-DED Codes with Reduced Triple Bit Error Miscorrection Probability. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Samara Sudireddy, Jayawant Kakade, Dimitri Kagaris Deterministic Built-in TPG with Segmented FSMs. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Richard Ruzicka, Lukás Sekanina, Roman Prokop Physical Demonstration of Polymorphic Self-Checking Circuits. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra Soft Error Protection Techniques. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Michael E. Imhof, Hans-Joachim Wunderlich, Christian G. Zoellin Integrating Scan Design and Soft Error Correction in Low-Power Applications. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Florence Azaïs, Laurent Larguier, Yves Bertrand, Michel Renovell On the Detection of SSN-Induced Logic Errors through On-Chip Monitoring. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 7-9 July 2008, Rhodes, Greece Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  BibTeX  RDF
1Vincent Pouget, Alexandre Douin, Gilles Foucard, Paul Peronnard, Dean Lewis, Pascal Fouillat, Raoul Velazco Dynamic Testing of an SRAM-Based FPGA by Time-Resolved Laser Fault Injection. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Norbert Seifert Special Session 1: Radiation Hardening Techniques. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Alodeep Sanyal, Syed M. Alam, Sandip Kundu A Built-In Self-Test Scheme for Soft Error Rate Characterization. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Claudia Rusu, Cristian Grecu, Lorena Anghel Communication Aware Recovery Configurations for Networks-on-Chip. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nikolaos G. Bartzoudis, Vasileios Tantsios, Klaus D. McDonald-Maier Dynamic Scheduling of Test Routines for Efficient Online Self-Testing of Embedded Microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Antonin Bougerol, Florent Miller, Nadine Buard SDRAM Architecture & Single Event Effects Revealed with Laser. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Celia López-Ongil, Alejandro Jiménez-Horas, Marta Portela-García, Mario García-Valderas, Enrique San Millán, Luis Entrena Smart Hardening for Round-based Encryption Algorithms: Application to Advanced Encryption Standard. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sobeeh Almukhaizim, Yiorgos Makris, Yu-Shen Yang, Andreas G. Veneris On the Minimization of Potential Transient Errors and SER in Logic Circuits Using SPFD. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Julien Vial, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Yield Improvement, Fault-Tolerance to the Rescue?. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1094 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license