The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISLPED"( http://dblp.L3S.de/Venues/ISLPED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/islped

Publication years (Num. hits)
1996 (74) 1997 (66) 1998 (65) 1999 (57) 2000 (61) 2001 (76) 2002 (67) 2003 (96) 2004 (75) 2005 (76) 2006 (83) 2007 (79) 2008 (80) 2009 (90) 2010 (80) 2011 (78) 2012 (76) 2013 (80) 2014 (75) 2015 (68) 2016 (69) 2017 (64) 2018 (55) 2019 (58) 2020 (43) 2021 (39) 2022 (33) 2023 (55)
Publication types (Num. hits)
inproceedings(1890) proceedings(28)
Venues (Conferences, Journals, ...)
ISLPED(1918)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1797 occurrences of 735 keywords

Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Glenn Reinman CHARM: a composable heterogeneous accelerator-rich microprocessor. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yuhao Wang 0002, Chun Zhang, Hao Yu 0001, Wei Zhang 0012 Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhenyu Sun 0001, Xiuyuan Bi, Hai Li 0001 Process variation aware data management for STT-RAM cache design. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hassan Ghasemzadeh 0001, Navid Amini, Majid Sarrafzadeh Energy-efficient signal processing in wearable embedded systems: an optimal feature selection approach. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abbas Rahimi, Luca Benini, Rajesh Gupta 0001 Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nicola Cottini, Massimo Gottardi, Nicola Massari, Roberto Passerone, Zeev Smilansky A 33μW 42 GOPS/W 64x64 pixel vision sensor with dynamic background subtraction for scene interpretation. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Youtao Zhang, Jun Yang 0002 ER: elastic RESET for low power and long endurance MLC based phase change memory. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Clive Bittlestone, Jim Kardach, Renu Mehra, David Flynn, Barry M. Pangrle Industry focus session on low-power design. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alberto Ros 0001, Polychronis Xekalakis, Marcelo Cintra, Manuel E. Acacio, José M. García 0001 ASCIB: adaptive selection of cache indexing bits for removing conflict misses. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Chunyue Liu, Glenn Reinman BiN: a buffer-in-NUCA scheme for accelerator-rich CMPs. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Flynn An ARM perspective on addressing low-power energy-efficient SoC designs. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir Design space exploration of workload-specific last-level caches. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jongmin Lee 0002, Soontae Kim Adopting TLB index-based tagging to data caches for tag energy reduction. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yanzhi Wang, Xue Lin, Naehyuck Chang, Massoud Pedram Dynamic reconfiguration of photovoltaic energy harvesting system in hybrid electric vehicles. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mingoo Seok A fine-grained many VT design methodology for ultra low voltage operations. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jong-Kwan Woo, Tae-Hoon Kim, Hyongmin Lee, Sunkwon Kim, Hyunjoong Lee, Suhwan Kim A comparator-based cyclic analog-to-digital converter with boosted preset voltage. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada Energy minimum operation in a reconfigurable gate-level pipelined and power-gated self synchronous FPGA. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Pi-Cheng Hsiu, Chun-Han Lin, Cheng-Kang Hsieh Dynamic backlight scaling optimization for mobile streaming applications. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Joseph F. Ryan 0002, Sudhanshu Khanna, Benton H. Calhoun An analytical model for performance yield of nanoscale SRAM accounting for the sense amplifier strobe signal. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Qiong Cai, José González 0002, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Makoto Yabuuchi, Yasumasa Tsukamoto, Hidehiro Fujiwara, Shigeki Tawa, Koji Maekawa, Motoshige Igarashi, Koji Nii A dynamic body-biased SRAM with asymmetric halo implant MOSFETs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Sunkwon Kim, Jong-Kwan Woo, Woo-Yeol Shin, Gi-Moon Hong, Hyongmin Lee, Hyunjoong Lee, Suhwan Kim A low-power referenceless clock and data recovery circuit with clock-edge modulation for biomedical sensor applications. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Zvi M. Kedem, Vincent John Mooney, Kirthi Krishna Muntimadugu, Krishna V. Palem An approach to energy-error tradeoffs in approximate ripple carry adders. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Naehyuck Chang, Hiroshi Nakamura, Koji Inoue, Kenichi Osada, Massimo Poncino (eds.) Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011 Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Woojoo Lee, Younghyun Kim 0001, Yanzhi Wang, Naehyuck Chang, Massoud Pedram, Soohee Han Versatile high-fidelity photovoltaic module emulation system. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Aldhino Anggorosesar, Young-Jin Kim 0002 Object-based local dimming for LCD systems with LED BLUs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Hyunjoong Lee, Hyongmin Lee, Jong-Kwan Woo, Sunkwon Kim, Young June Park, Suhwan Kim A CMOs readout integrated circuit with wide dynamic range for a CNT bio-sensor array system. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Phillip Stanley-Marbell, Victoria Caparrós Cabezas, Ronald P. Luijten Pinned to the walls: impact of packaging and application properties on the memory and power walls. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Kimiyoshi Usami, Yuya Goto, Kensaku Matsunaga, Satoshi Koyama, Daisuke Ikebuchi, Hideharu Amano, Hiroshi Nakamura On-chip detection methodology for break-even time of power gated function units. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Anurag Nigam, Clinton Wills Smullen IV, Vidyabhushan Mohan, Eugene Chen, Sudhanva Gurumurthi, Mircea R. Stan Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM). Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Liyuan Liu, Dongmei Li, Yafei Ye, Zhihua Wang 0001 A 92.4dB SNDR 24kHz ΔΕ modulator consuming 352μW. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Yanzhi Wang, Younghyun Kim 0001, Qing Xie 0001, Naehyuck Chang, Massoud Pedram Charge migration efficiency optimization in hybrid electrical energy storage (HEES) systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Vita Pi-Ho Hu, Ming-Long Fan, Pin Su, Ching-Te Chuang Analysis of power-performance for ultra-thin-body GeOI logic circuits. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1David Li, Pierce Chuang, David Nairn, Manoj Sachdev Design and analysis of metastable-hardened flip-flops in sub-threshold region. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Suman Datta Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Xun He, Dajiang Zhou, Xin Jin 0002, Satoshi Goto A 98 GMACs/W 32-core vector processor in 65nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Warren Wai-Kit Shum, Jason Helge Anderson FPGA glitch power analysis and reduction. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga Power and delay aware synthesis of multi-operand adders targeting LUT-based FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Jongmin Lee 0002, Seokin Hong, Soontae Kim TLB index-based tagging for cache energy reduction. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Hideki Takase, Gang Zeng, Lovic Gauthier, Hirotaka Kawashima, Noritoshi Atsumi, Tomohiro Tatematsu, Yoshitake Kobayashi, Shunitsu Kohara, Takenori Koshiro, Tohru Ishihara, Hiroyuki Tomiyama, Hiroaki Takada An integrated optimization framework for reducing the energy consumption of embedded real-time applications. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Sachin S. Sapatnekar The whys and hows of thermal management. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Azalia Mirhoseini, Farinaz Koushanfar Learning to manage combined energy supply systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Mitsumasa Koyanagi 3D super chip technology to achieve low-power and high-performance system-on-a chip. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Michael A. Kochte, Kohei Miyase, Xiaoqing Wen, Seiji Kajihara, Yuta Yamato, Kazunari Enokimoto, Hans-Joachim Wunderlich SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Hong-Ting Lin, Yi-Lin Chuang, Tsung-Yi Ho Pulsed-latch-based clock tree migration for dynamic power reduction. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Youngtaek Kim, Lizy Kurian John Automated di/dt stressmark generation for microprocessor power delivery networks. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Lei Jiang 0001, Youtao Zhang, Jun Yang 0002 Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Kee Sup Kim Holistic low power solutions for the new world. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Yiran Chen 0001, Weng-Fai Wong, Hai Li 0001, Cheng-Kok Koh Processor caches with multi-level spin-transfer torque ram cells. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Ming-Hung Chang, Yi-Te Chiu, Shu-Lin Lai, Wei Hwang A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Rami A. Abdallah, Pradeep S. Shenoy, Naresh R. Shanbhag, Philip T. Krein System energy minimization via joint optimization of the DC-DC converter and the core. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1David Meisner, Thomas F. Wenisch Does low-power design imply energy efficiency for data centers? Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Ce Li 0006, Yiping Dong, Takahiro Watanabe New power-aware placement for region-based FPGA architecture combined with dynamic power gating by PCHM. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Takayasu Sakurai Designing ultra-low voltage logic. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Ken Takeuchi Green high performance storage class memory & NAND flash memory hybrid SSD system. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Sang Phill Park, Soo Youn Kim, Dongsoo Lee, Jae-Joon Kim, W. Paul Griffin, Kaushik Roy 0001 Column-selection-enabled 8T SRAM array with ~1R/1W multi-port operation for DVFS-enabled processors. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Sehwan Kim, Pai H. Chou Energy harvesting by sweeping voltage-escalated charging of a reconfigurable supercapacitor array. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Amin Jadidi, Mohammad Arjomand, Hamid Sarbazi-Azad High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Piotr Patronik, Krzysztof S. Berezowski, Stanislaw J. Piestrak, Janusz Biernat, Aviral Shrivastava Fast and energy-efficient constant-coefficient FIR filters using residue number system. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Amir Zjajo, José Pineda de Gyvez A 1.2v 55mW 12bits self-calibrated dual-residue analog to digital converter in 90 nm CMOs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Tadashi Yasufuku, Satoshi Iida, Hiroshi Fuketa, Koji Hirairi, Masahiro Nomura, Makoto Takamiya, Takayasu Sakurai Investigation of determinant factors of minimum operating voltage of logic gates in 65-nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Ming-Hung Chang, Chung-Ying Hsieh, Mei-Wei Chen, Wei Hwang Near-/sub-threshold DLL-based clock generator with PVT-aware locking range compensation. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Kai-Chiang Wu, Diana Marculescu, Ming-Chao Lee, Shih-Chieh Chang Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Chin-Hung Lin, Ing-Chao Lin, Kuan-Hui Li TG-based technique for NBTI degradation and leakage optimization. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Satoshi Matsuoka Making TSUBAME2.0, the world's greenest production supercomputer, even greener: challenges to the architects. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Alessandro Vincenzi, Arvind Sridhar, Martino Ruggiero, David Atienza Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Bushra Ahsan, Lorena Ndreu, Isidoros Sideris, Yiannakis Sazeides, Sachin Idgunji, Emre Özer 0001 Eliminating energy of same-content-cell-columns of on-chip SRAM arrays. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Jun-Hong Weng, Ching-Yuan Yang, Yi-Lin Jhu A low-power direct digital frequency synthesizer using an analogue-sine-conversion technique. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Toshihiro Hattori Low-power and high-performance technologies for mobile SoC in LTE era. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Denis Dondi, Piero Zappi, Tajana Simunic Rosing A scheduling algorithm for consistent monitoring results with solar powered high-performance wireless embedded systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Po-Hsun Wu, Tsung-Yi Ho Thermal-aware bus-driven floorplanning. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Hiroshi Fuketa, Koji Hirairi, Tadashi Yasufuku, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai 12.7-times energy efficiency increase of 16-bit integer unit by power supply voltage (VDD) scaling from 1.2v to 310mv enabled by contention-less flip-flops (CLFF) and separated VDD between flip-flops and combinational logics. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Jason Cong, Karthik Gururaj, Hui Huang 0001, Chunyue Liu, Glenn Reinman, Yi Zou An energy-efficient adaptive hybrid cache. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Junyoung Park, Jacob A. Abraham A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Vaibhav Gupta, Debabrata Mohapatra, Sang Phill Park, Anand Raghunathan, Kaushik Roy 0001 IMPACT: imprecise adders for low-power approximate computing. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Yibo Chen, Eren Kursun, Dave Motschman, Charles Johnson, Yuan Xie 0001 Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1György D. Szarka, Plamen P. Proynov, Bernard H. Stark, Stephen G. Burrow, Neville McNeill Experimental investigation of inductorless, single-stage boost rectification for sub-mW electromagnetic energy harvesters. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Karthik Kumar, Kshitij A. Doshi, Martin Dimitrov, Yung-Hsiang Lu Memory energy management for an enterprise decision support system. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Manuj R. Sabharwal Software power optimization: analysis and optimization for energy-efficient software. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Pingqiang Zhou, Jieming Yin, Antonia Zhai, Sachin S. Sapatnekar NoC frequency scaling with flexible-pipeline routers. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Carlo Brandolese, Simone Corbetta, William Fornaciari Software energy estimation based on statistical characterization of intermediate compilation code. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Xin Zhao 0001, Jeremy R. Tolbert, Chang Liu 0034, Saibal Mukhopadhyay, Sung Kyu Lim Variation-aware clock network design methodology for ultra-low voltage (ULV) circuits. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Saro Meguerdichian, Miodrag Potkonjak Matched public PUF: ultra low energy security platform. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Yasunori Miyahara Next-generation wireless technologies trends for ultra low energy. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Raid Zuhair Ayoub, Ümit Y. Ogras, Eugene Gorbatov, Yanqin Jin, Timothy Kam, Paul Diefenbaugh, Tajana Rosing OS-level power minimization under tight performance constraints in general purpose systems. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Mahsan Rofouei, Miodrag Potkonjak, Majid Sarrafzadeh Energy efficient E-textile based portable keyboard. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Xin Fan, Shigeru Kusakabe Energy efficient scheduling for multithreaded programs on general-purpose processors. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Aaron Carpenter, Jianyun Hu, Michael C. Huang 0001, Hui Wu, Peng Liu 0016 A design space exploration of transmission-line links for on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Mitsuo Yokokawa, Fumiyoshi Shoji, Atsuya Uno, Motoyoshi Kurokawa, Tadashi Watanabe The K computer: Japanese next-generation supercomputer development project. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Yi-Wei Chiu, Jihi-Yu Lin, Ming-Hsien Tu, Shyh-Jye Jou, Ching-Te Chuang 8T single-ended sub-threshold SRAM with cross-point data-aware write operation. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Toshiro Hiramoto Ultra-low-voltage operation: device perspective. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Kentaro Honda, Katsuyuki Ikeuchi, Masahiro Nomura, Makoto Takamiya, Takayasu Sakurai Reduction of minimum operating voltage (VDDmin) of CMOS logic circuits with post-fabrication automatically selective charge injection. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
1Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
1Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif Statistical leakage modeling for accurate yield analysis: the CDF matching method and its alternatives. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sram
1Martin Saint-Laurent, Animesh Datta A low-power clock gating cell optimized for low-voltage operation in a 45-nm technology. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock gater, clock gating cell, local clock buffer, set-reset latch
1Mehmet Basoglu, Michael Orshansky, Mattan Erez NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wearout, energy efficiency, process variation, DVFS, NBTI
1Mingoo Seok, David T. Blaauw, Dennis Sylvester Clock network design for ultra-low power applications. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ultra-low power, robust design, clock network
1Kun Li, Jie Wu, Yifei Jiang, Zyad Hassan, Qin Lv, Li Shang, Dragan Maksimovic Large-scale battery system modeling and analysis for emerging electric-drive vehicles. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF battery system model, electric-drive vehicles, analysis
1Mondira (Mandy) Deb Pant Microprocessor power delivery challenges in the Nano-Era. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power delivery, power, microprocessors
Displaying result #701 - #800 of 1918 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license