|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1797 occurrences of 735 keywords
|
|
|
Results
Found 1918 publication records. Showing 1918 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Glenn Reinman |
CHARM: a composable heterogeneous accelerator-rich microprocessor. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Yuhao Wang 0002, Chun Zhang, Hao Yu 0001, Wei Zhang 0012 |
Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Zhenyu Sun 0001, Xiuyuan Bi, Hai Li 0001 |
Process variation aware data management for STT-RAM cache design. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Hassan Ghasemzadeh 0001, Navid Amini, Majid Sarrafzadeh |
Energy-efficient signal processing in wearable embedded systems: an optimal feature selection approach. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Abbas Rahimi, Luca Benini, Rajesh Gupta 0001 |
Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Nicola Cottini, Massimo Gottardi, Nicola Massari, Roberto Passerone, Zeev Smilansky |
A 33μW 42 GOPS/W 64x64 pixel vision sensor with dynamic background subtraction for scene interpretation. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Lei Jiang 0001, Youtao Zhang, Jun Yang 0002 |
ER: elastic RESET for low power and long endurance MLC based phase change memory. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Clive Bittlestone, Jim Kardach, Renu Mehra, David Flynn, Barry M. Pangrle |
Industry focus session on low-power design. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Alberto Ros 0001, Polychronis Xekalakis, Marcelo Cintra, Manuel E. Acacio, José M. García 0001 |
ASCIB: adaptive selection of cache indexing bits for removing conflict misses. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Chunyue Liu, Glenn Reinman |
BiN: a buffer-in-NUCA scheme for accelerator-rich CMPs. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | David Flynn |
An ARM perspective on addressing low-power energy-efficient SoC designs. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir |
Design space exploration of workload-specific last-level caches. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Jongmin Lee 0002, Soontae Kim |
Adopting TLB index-based tagging to data caches for tag energy reduction. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Yanzhi Wang, Xue Lin, Naehyuck Chang, Massoud Pedram |
Dynamic reconfiguration of photovoltaic energy harvesting system in hybrid electric vehicles. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Mingoo Seok |
A fine-grained many VT design methodology for ultra low voltage operations. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
1 | Jong-Kwan Woo, Tae-Hoon Kim, Hyongmin Lee, Sunkwon Kim, Hyunjoong Lee, Suhwan Kim |
A comparator-based cyclic analog-to-digital converter with boosted preset voltage. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Benjamin Stefan Devlin, Makoto Ikeda, Kunihiro Asada |
Energy minimum operation in a reconfigurable gate-level pipelined and power-gated self synchronous FPGA. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Pi-Cheng Hsiu, Chun-Han Lin, Cheng-Kang Hsieh |
Dynamic backlight scaling optimization for mobile streaming applications. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Joseph F. Ryan 0002, Sudhanshu Khanna, Benton H. Calhoun |
An analytical model for performance yield of nanoscale SRAM accounting for the sense amplifier strobe signal. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Qiong Cai, José González 0002, Grigorios Magklis, Pedro Chaparro, Antonio González 0001 |
Thread shuffling: combining DVFS and thread migration toreduce energy consumptions for multi-core systems. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Makoto Yabuuchi, Yasumasa Tsukamoto, Hidehiro Fujiwara, Shigeki Tawa, Koji Maekawa, Motoshige Igarashi, Koji Nii |
A dynamic body-biased SRAM with asymmetric halo implant MOSFETs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Sunkwon Kim, Jong-Kwan Woo, Woo-Yeol Shin, Gi-Moon Hong, Hyongmin Lee, Hyunjoong Lee, Suhwan Kim |
A low-power referenceless clock and data recovery circuit with clock-edge modulation for biomedical sensor applications. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Zvi M. Kedem, Vincent John Mooney, Kirthi Krishna Muntimadugu, Krishna V. Palem |
An approach to energy-error tradeoffs in approximate ripple carry adders. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Naehyuck Chang, Hiroshi Nakamura, Koji Inoue, Kenichi Osada, Massimo Poncino (eds.) |
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011 |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Woojoo Lee, Younghyun Kim 0001, Yanzhi Wang, Naehyuck Chang, Massoud Pedram, Soohee Han |
Versatile high-fidelity photovoltaic module emulation system. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Aldhino Anggorosesar, Young-Jin Kim 0002 |
Object-based local dimming for LCD systems with LED BLUs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Hyunjoong Lee, Hyongmin Lee, Jong-Kwan Woo, Sunkwon Kim, Young June Park, Suhwan Kim |
A CMOs readout integrated circuit with wide dynamic range for a CNT bio-sensor array system. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Phillip Stanley-Marbell, Victoria Caparrós Cabezas, Ronald P. Luijten |
Pinned to the walls: impact of packaging and application properties on the memory and power walls. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Kimiyoshi Usami, Yuya Goto, Kensaku Matsunaga, Satoshi Koyama, Daisuke Ikebuchi, Hideharu Amano, Hiroshi Nakamura |
On-chip detection methodology for break-even time of power gated function units. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Anurag Nigam, Clinton Wills Smullen IV, Vidyabhushan Mohan, Eugene Chen, Sudhanva Gurumurthi, Mircea R. Stan |
Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM). |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Liyuan Liu, Dongmei Li, Yafei Ye, Zhihua Wang 0001 |
A 92.4dB SNDR 24kHz ΔΕ modulator consuming 352μW. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Yanzhi Wang, Younghyun Kim 0001, Qing Xie 0001, Naehyuck Chang, Massoud Pedram |
Charge migration efficiency optimization in hybrid electrical energy storage (HEES) systems. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Vita Pi-Ho Hu, Ming-Long Fan, Pin Su, Ching-Te Chuang |
Analysis of power-performance for ultra-thin-body GeOI logic circuits. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | David Li, Pierce Chuang, David Nairn, Manoj Sachdev |
Design and analysis of metastable-hardened flip-flops in sub-threshold region. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Suman Datta |
Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Xun He, Dajiang Zhou, Xin Jin 0002, Satoshi Goto |
A 98 GMACs/W 32-core vector processor in 65nm CMOS. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Warren Wai-Kit Shum, Jason Helge Anderson |
FPGA glitch power analysis and reduction. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Taeko Matsunaga, Shinji Kimura, Yusuke Matsunaga |
Power and delay aware synthesis of multi-operand adders targeting LUT-based FPGAs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Jongmin Lee 0002, Seokin Hong, Soontae Kim |
TLB index-based tagging for cache energy reduction. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Hideki Takase, Gang Zeng, Lovic Gauthier, Hirotaka Kawashima, Noritoshi Atsumi, Tomohiro Tatematsu, Yoshitake Kobayashi, Shunitsu Kohara, Takenori Koshiro, Tohru Ishihara, Hiroyuki Tomiyama, Hiroaki Takada |
An integrated optimization framework for reducing the energy consumption of embedded real-time applications. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Sachin S. Sapatnekar |
The whys and hows of thermal management. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Azalia Mirhoseini, Farinaz Koushanfar |
Learning to manage combined energy supply systems. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Mitsumasa Koyanagi |
3D super chip technology to achieve low-power and high-performance system-on-a chip. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Michael A. Kochte, Kohei Miyase, Xiaoqing Wen, Seiji Kajihara, Yuta Yamato, Kazunari Enokimoto, Hans-Joachim Wunderlich |
SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Hong-Ting Lin, Yi-Lin Chuang, Tsung-Yi Ho |
Pulsed-latch-based clock tree migration for dynamic power reduction. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Youngtaek Kim, Lizy Kurian John |
Automated di/dt stressmark generation for microprocessor power delivery networks. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Lei Jiang 0001, Youtao Zhang, Jun Yang 0002 |
Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Kee Sup Kim |
Holistic low power solutions for the new world. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Yiran Chen 0001, Weng-Fai Wong, Hai Li 0001, Cheng-Kok Koh |
Processor caches with multi-level spin-transfer torque ram cells. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Ming-Hung Chang, Yi-Te Chiu, Shu-Lin Lai, Wei Hwang |
A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Rami A. Abdallah, Pradeep S. Shenoy, Naresh R. Shanbhag, Philip T. Krein |
System energy minimization via joint optimization of the DC-DC converter and the core. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | David Meisner, Thomas F. Wenisch |
Does low-power design imply energy efficiency for data centers? |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Ce Li 0006, Yiping Dong, Takahiro Watanabe |
New power-aware placement for region-based FPGA architecture combined with dynamic power gating by PCHM. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Takayasu Sakurai |
Designing ultra-low voltage logic. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Ken Takeuchi |
Green high performance storage class memory & NAND flash memory hybrid SSD system. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Sang Phill Park, Soo Youn Kim, Dongsoo Lee, Jae-Joon Kim, W. Paul Griffin, Kaushik Roy 0001 |
Column-selection-enabled 8T SRAM array with ~1R/1W multi-port operation for DVFS-enabled processors. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Sehwan Kim, Pai H. Chou |
Energy harvesting by sweeping voltage-escalated charging of a reconfigurable supercapacitor array. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Amin Jadidi, Mohammad Arjomand, Hamid Sarbazi-Azad |
High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Piotr Patronik, Krzysztof S. Berezowski, Stanislaw J. Piestrak, Janusz Biernat, Aviral Shrivastava |
Fast and energy-efficient constant-coefficient FIR filters using residue number system. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Amir Zjajo, José Pineda de Gyvez |
A 1.2v 55mW 12bits self-calibrated dual-residue analog to digital converter in 90 nm CMOs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Tadashi Yasufuku, Satoshi Iida, Hiroshi Fuketa, Koji Hirairi, Masahiro Nomura, Makoto Takamiya, Takayasu Sakurai |
Investigation of determinant factors of minimum operating voltage of logic gates in 65-nm CMOS. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Ming-Hung Chang, Chung-Ying Hsieh, Mei-Wei Chen, Wei Hwang |
Near-/sub-threshold DLL-based clock generator with PVT-aware locking range compensation. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Kai-Chiang Wu, Diana Marculescu, Ming-Chao Lee, Shih-Chieh Chang |
Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Chin-Hung Lin, Ing-Chao Lin, Kuan-Hui Li |
TG-based technique for NBTI degradation and leakage optimization. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Satoshi Matsuoka |
Making TSUBAME2.0, the world's greenest production supercomputer, even greener: challenges to the architects. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Alessandro Vincenzi, Arvind Sridhar, Martino Ruggiero, David Atienza |
Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Bushra Ahsan, Lorena Ndreu, Isidoros Sideris, Yiannakis Sazeides, Sachin Idgunji, Emre Özer 0001 |
Eliminating energy of same-content-cell-columns of on-chip SRAM arrays. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Jun-Hong Weng, Ching-Yuan Yang, Yi-Lin Jhu |
A low-power direct digital frequency synthesizer using an analogue-sine-conversion technique. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Toshihiro Hattori |
Low-power and high-performance technologies for mobile SoC in LTE era. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Denis Dondi, Piero Zappi, Tajana Simunic Rosing |
A scheduling algorithm for consistent monitoring results with solar powered high-performance wireless embedded systems. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Po-Hsun Wu, Tsung-Yi Ho |
Thermal-aware bus-driven floorplanning. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Daeyeon Kim, Vikas Chandra, Robert C. Aitken, David T. Blaauw, Dennis Sylvester |
Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Hiroshi Fuketa, Koji Hirairi, Tadashi Yasufuku, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai |
12.7-times energy efficiency increase of 16-bit integer unit by power supply voltage (VDD) scaling from 1.2v to 310mv enabled by contention-less flip-flops (CLFF) and separated VDD between flip-flops and combinational logics. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Jason Cong, Karthik Gururaj, Hui Huang 0001, Chunyue Liu, Glenn Reinman, Yi Zou |
An energy-efficient adaptive hybrid cache. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Junyoung Park, Jacob A. Abraham |
A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Vaibhav Gupta, Debabrata Mohapatra, Sang Phill Park, Anand Raghunathan, Kaushik Roy 0001 |
IMPACT: imprecise adders for low-power approximate computing. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Yibo Chen, Eren Kursun, Dave Motschman, Charles Johnson, Yuan Xie 0001 |
Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | György D. Szarka, Plamen P. Proynov, Bernard H. Stark, Stephen G. Burrow, Neville McNeill |
Experimental investigation of inductorless, single-stage boost rectification for sub-mW electromagnetic energy harvesters. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Karthik Kumar, Kshitij A. Doshi, Martin Dimitrov, Yung-Hsiang Lu |
Memory energy management for an enterprise decision support system. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Manuj R. Sabharwal |
Software power optimization: analysis and optimization for energy-efficient software. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Pingqiang Zhou, Jieming Yin, Antonia Zhai, Sachin S. Sapatnekar |
NoC frequency scaling with flexible-pipeline routers. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Carlo Brandolese, Simone Corbetta, William Fornaciari |
Software energy estimation based on statistical characterization of intermediate compilation code. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Xin Zhao 0001, Jeremy R. Tolbert, Chang Liu 0034, Saibal Mukhopadhyay, Sung Kyu Lim |
Variation-aware clock network design methodology for ultra-low voltage (ULV) circuits. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Saro Meguerdichian, Miodrag Potkonjak |
Matched public PUF: ultra low energy security platform. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Yasunori Miyahara |
Next-generation wireless technologies trends for ultra low energy. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Raid Zuhair Ayoub, Ümit Y. Ogras, Eugene Gorbatov, Yanqin Jin, Timothy Kam, Paul Diefenbaugh, Tajana Rosing |
OS-level power minimization under tight performance constraints in general purpose systems. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Mahsan Rofouei, Miodrag Potkonjak, Majid Sarrafzadeh |
Energy efficient E-textile based portable keyboard. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Xin Fan, Shigeru Kusakabe |
Energy efficient scheduling for multithreaded programs on general-purpose processors. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Aaron Carpenter, Jianyun Hu, Michael C. Huang 0001, Hui Wu, Peng Liu 0016 |
A design space exploration of transmission-line links for on-chip interconnect. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Mitsuo Yokokawa, Fumiyoshi Shoji, Atsuya Uno, Motoyoshi Kurokawa, Tadashi Watanabe |
The K computer: Japanese next-generation supercomputer development project. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Yi-Wei Chiu, Jihi-Yu Lin, Ming-Hsien Tu, Shyh-Jye Jou, Ching-Te Chuang |
8T single-ended sub-threshold SRAM with cross-point data-aware write operation. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Toshiro Hiramoto |
Ultra-low-voltage operation: device perspective. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Kentaro Honda, Katsuyuki Ikeuchi, Masahiro Nomura, Makoto Takamiya, Takayasu Sakurai |
Reduction of minimum operating voltage (VDDmin) of CMOS logic circuits with post-fabrication automatically selective charge injection. |
ISLPED |
2011 |
DBLP BibTeX RDF |
|
1 | Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 |
Replication-aware leakage management in chip multiprocessors with private L2 cache. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
leakage power management, chip multiprocessors, L2 caches |
1 | Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif |
Statistical leakage modeling for accurate yield analysis: the CDF matching method and its alternatives. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
sram |
1 | Martin Saint-Laurent, Animesh Datta |
A low-power clock gating cell optimized for low-voltage operation in a 45-nm technology. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
clock gater, clock gating cell, local clock buffer, set-reset latch |
1 | Mehmet Basoglu, Michael Orshansky, Mattan Erez |
NBTI-aware DVFS: a new approach to saving energy and increasing processor lifetime. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
wearout, energy efficiency, process variation, DVFS, NBTI |
1 | Mingoo Seok, David T. Blaauw, Dennis Sylvester |
Clock network design for ultra-low power applications. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
ultra-low power, robust design, clock network |
1 | Kun Li, Jie Wu, Yifei Jiang, Zyad Hassan, Qin Lv, Li Shang, Dragan Maksimovic |
Large-scale battery system modeling and analysis for emerging electric-drive vehicles. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
battery system model, electric-drive vehicles, analysis |
1 | Mondira (Mandy) Deb Pant |
Microprocessor power delivery challenges in the Nano-Era. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
power delivery, power, microprocessors |
|
|