The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MICRO"( http://dblp.L3S.de/Venues/MICRO )

URL (DBLP): http://dblp.uni-trier.de/db/conf/micro

Publication years (Num. hits)
1972 (15) 1973 (34) 1974 (47) 1976-1977 (28) 1978 (29) 1979 (15) 1980 (23) 1981 (21) 1982 (23) 1984 (35) 1985 (21) 1986 (21) 1987 (23) 1988 (26) 1989 (32) 1990 (35) 1991 (27) 1992 (43) 1993 (28) 1994 (28) 1995 (38) 1996 (31) 1997 (36) 1998 (29) 1999 (30) 2000 (35) 2001 (32) 2002 (37) 2003 (38) 2004 (32) 2005 (34) 2006 (43) 2007 (36) 2008 (43) 2009 (55) 2010 (46) 2011 (45) 2012 (41) 2013 (40) 2014 (54) 2015 (62) 2016 (63) 2017 (62) 2018 (75) 2019 (81) 2020 (83) 2021 (95) 2022 (84) 2023 (102)
Publication types (Num. hits)
inproceedings(1986) proceedings(50)
Venues (Conferences, Journals, ...)
MICRO(2036)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 490 occurrences of 311 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Kaige Yan, Xingyao Zhang, Xin Fu Characterizing, modeling, and improving the QoE of mobile devices with low battery level. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kathryn E. Gray, Gabriel Kerneis, Dominic P. Mulligan, Christopher Pulte, Susmit Sarkar, Peter Sewell An integrated concurrency and core-ISA architectural envelope definition, and test oracle, for IBM POWER multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yazhou Zu, Charles R. Lefurgy, Jingwen Leng, Matthew Halpern, Michael S. Floyd, Vijay Janapa Reddi Adaptive guardband scheduling to improve system-level efficiency of the POWER7+. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Binh Pham 0003, Ján Veselý, Gabriel H. Loh, Abhishek Bhattacharjee Large pages and lightweight memory management in virtualized environments: can you have it both ways? Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shruti Padmanabha, Andrew Lukefahr, Reetuparna Das, Scott A. Mahlke DynaMOS: dynamic schedule migration for heterogeneous cores. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rajib Nath, Dean M. Tullsen The CRISP performance model for dynamic voltage and frequency scaling in a GPGPU. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yaosheng Fu, Tri Minh Nguyen 0003, David Wentzlaff Coherence domain restriction on large scale systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dibakar Gope, Mikko H. Lipasti Bias-Free Branch Predictor. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Haofan Yang 0001, Jyoti Tripathi, Natalie D. Enright Jerger, Dan Gibson Dodec: Random-Link, Low-Radix On-Chip Networks. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daya Shanker Khudia, Scott A. Mahlke Harnessing Soft Computations for Low-Budget Fault Tolerance. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ruisheng Wang, Lizhong Chen Futility Scaling: High-Associativity Cache Partitioning. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Robert Locke Callan, Alenka G. Zajic, Milos Prvulovic A Practical Methodology for Measuring the Side-Channel Signal Available to the Attacker for Instruction-Level Events. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nagendra Dwarakanath Gulur, Mahesh Mehendale, R. Manikantan, R. Govindarajan Bi-Modal DRAM Cache: Improving Hit Rate, Hit Latency and Bandwidth. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Supreet Jeloka, Reetuparna Das, Ronald G. Dreslinski, Trevor N. Mudge, David T. Blaauw Hi-Rise: A High-Radix Switch for 3D Integration with Single-Cycle Arbitration. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dmitry Evtyushkin, Jesse Elwell, Meltem Ozsoy, Dmitry V. Ponomarev, Nael B. Abu-Ghazaleh, Ryan Riley Iso-X: A Flexible Architecture for Hardware-Managed Isolated Execution. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael A. Laurenzano, Yunqi Zhang, Lingjia Tang, Jason Mars Protean Code: Achieving Near-Free Online Code Transformations for Warehouse Scale Computers. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jayneel Gandhi, Arkaprava Basu, Mark D. Hill, Michael M. Swift Efficient Memory Virtualization: Reducing Dimensionality of Nested Page Walks. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jeffrey R. Diamond, Donald S. Fussell, Stephen W. Keckler Arbitrary Modulus Indexing. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jorge Albericio, Joshua San Miguel, Natalie D. Enright Jerger, Andreas Moshovos Wormhole: Wisely Predicting Multidimensional Branches. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Ding 0008, Diana R. Guttman, Mahmut T. Kandemir Compiler Support for Optimizing Memory Bank-Level Parallelism. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Waclaw Godycki, Christopher Torng, Ivan Bukreyev, Alyssa B. Apsel, Christopher Batten Enabling Realistic Fine-Grain Voltage Scaling with Reconfigurable Power Distribution Networks. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ankit Sethia, Scott A. Mahlke Equalizer: Dynamic Tuning of GPU Resources for Efficient Execution. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Praveen Yedlapalli, Nachiappan Chidambaram Nachiappan, Niranjan Soundararajan, Anand Sivasubramaniam, Mahmut T. Kandemir, Chita R. Das Short-Circuiting Memory Traffic in Handheld Platforms. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Linhai Song, Min Feng 0001, Nishkam Ravi, Yi Yang 0018, Srimat T. Chakradhar COMP: Compiler Optimizations for Manycore Processors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Guoyang Chen, Bo Wu 0002, Dong Li 0001, Xipeng Shen PORPLE: An Extensible Optimizer for Portable Data Placement on GPU. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yunji Chen, Tao Luo, Shaoli Liu, Shijin Zhang, Liqiang He, Jia Wang, Ling Li 0001, Tianshi Chen 0002, Zhiwei Xu 0002, Ninghui Sun, Olivier Temam DaDianNao: A Machine-Learning Supercomputer. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gwangsun Kim, Minseok Lee, Jiyun Jeong, John Kim Multi-GPU System Design with Memory Networks. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shreesha Srinath, Berkin Ilbeyi, Mingxing Tan, Gai Liu, Zhiru Zhang, Christopher Batten Architectural Specialization for Inter-Iteration Loop Dependence Patterns. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Erdem Aktas, Furat Afram, Kanad Ghose Continuous, Low Overhead, Run-Time Validation of Program Executions. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jishen Zhao, Onur Mutlu, Yuan Xie 0001 FIRM: Fair and High-Performance Memory Control for Persistent Memory Systems. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yunsup Lee, Vinod Grover, Ronny Krashinsky, Mark Stephenson, Stephen W. Keckler, Krste Asanovic Exploring the Design Space of SPMD Divergence Management on Data-Parallel Architectures. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joshua San Miguel, Mario Badr, Natalie D. Enright Jerger Load Value Approximation. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jeongseob Ahn, Chang Hyun Park 0001, Jaehyuk Huh 0001 Micro-Sliced Virtual Processors to Hide the Effect of Discontinuous CPU Availability for Consolidated Systems. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 47th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2014, Cambridge, United Kingdom, December 13-17, 2014 Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  BibTeX  RDF
1Ramon Bertran, Alper Buyuktosunoglu, Pradip Bose, Timothy J. Slegel, Gerard Salem, Sean M. Carey, Richard F. Rizzolo, Thomas Strach Voltage Noise in Multi-Core Processors: Empirical Characterization and Optimization Opportunities. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ryota Shioya, Masahiro Goshima, Hideki Ando A Front-End Execution Architecture for High Energy Efficiency. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Derek Lockhart, Gary Zibrat, Christopher Batten PyMTL: A Unified Framework for Vertically Integrated Computer Architecture Research. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Adi Fuchs, Shie Mannor, Uri C. Weiser, Yoav Etsion Loop-Aware Memory Prefetching Using Code Block Working Sets. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anys Bacha, Radu Teodorescu Using ECC Feedback to Guide Voltage Speculation in Low-Voltage Processors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Djordje Jevdjic, Gabriel H. Loh, Cansu Kaynak, Babak Falsafi Unison Cache: A Scalable and Effective Die-Stacked DRAM Cache. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stavros Volos, Javier Picorel, Babak Falsafi, Boris Grot BuMP: Bulk Memory Access Prediction and Streaming. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yunqi Zhang, Michael A. Laurenzano, Jason Mars, Lingjia Tang SMiTe: Precise QoS Prediction on Real-System SMT Processors to Improve Utilization in Warehouse Scale Computers. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Somayeh Sardashti, André Seznec, David A. Wood 0001 Skewed Compressed Caches. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1HyoukJoong Lee, Kevin J. Brown, Arvind K. Sujeeth, Tiark Rompf, Kunle Olukotun Locality-Aware Mapping of Nested Parallel Patterns on GPUs. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jen-Cheng Huang, Joo Hwan Lee, Hyesoon Kim, Hsien-Hsin S. Lee GPUMech: GPU Performance Modeling Technique Based on Interval Analysis. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1David Kadjo, Jinchun Kim, Prabal Sharma, Reena Panda, Paul Gratz, Daniel A. Jiménez B-Fetch: Branch Prediction Directed Prefetching for Chip-Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fangfei Liu, Ruby B. Lee Random Fill Cache Architecture. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael McKeown, Jonathan Balkind, David Wentzlaff Execution Drafting: Energy Efficiency through Computation Deduplication. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bo Su, Junli Gu, Li Shen 0007, Wei Huang 0004, Joseph L. Greathouse, Zhiying Wang 0003 PPEP: Online Performance, Power, and Energy Prediction Framework and DVFS Space Exploration. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chia-Chen Chou, Aamer Jaleel, Moinuddin K. Qureshi CAMEO: A Two-Level Memory Organization with Capacity of Main Memory and Flexibility of Hardware-Managed Cache. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jie Chen 0020, Guru Venkataramani CC-Hunter: Uncovering Covert Timing Channels on Shared Processor Hardware. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Prashant J. Nair, David A. Roberts, Moinuddin K. Qureshi Citadel: Efficiently Protecting Stacked Memory from Large Granularity Failures. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Onur Kayiran, Nachiappan Chidambaram Nachiappan, Adwait Jog, Rachata Ausavarungnirun, Mahmut T. Kandemir, Gabriel H. Loh, Onur Mutlu, Chita R. Das Managing GPU Concurrency in Heterogeneous Architectures. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ji Yun Kim, Christopher Batten Accelerating Irregular Algorithms on GPGPUs Using Fine-Grain Hardware Worklists. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Natalie D. Enright Jerger, Ajaykumar Kannan, Zimo Li, Gabriel H. Loh NoC Architectures for Silicon Interposer Systems: Why Pay for more Wires when you Can Get them (from your interposer) for Free? Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xuhao Chen 0001, Li-Wen Chang, Christopher I. Rodrigues, Jie Lv, Zhiying Wang 0003, Wen-mei W. Hwu Adaptive Cache Management for Energy-Efficient GPU Computing. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qing Yi, Qian Wang, Huimin Cui Specializing Compiler Optimizations through Programmable Composition for Dense Matrix Computations. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Lustig, Michael Pellauer, Margaret Martonosi Pipe Check: Specifying and Verifying Microarchitectural Enforcement of Memory Consistency Models. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaewoong Sim, Alaa R. Alameldeen, Zeshan Chishti, Chris Wilkerson, Hyesoon Kim Transparent Hardware Management of Stacked DRAM as Part of Memory. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jaewon Lee, Hanhwi Jang, Jangwoo Kim RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mark Wilkening, Vilas Sridharan, Si Li, Fritz Previlon, Sudhanva Gurumurthi, David R. Kaeli Calculating Architectural Vulnerability Factors for Spatial Multi-Bit Transient Faults. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Adrian Sampson, Jacob Nelson 0001, Karin Strauss, Luis Ceze Approximate storage in solid-state memories. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Timothy Creech, Aparna Kotha, Rajeev Barua Efficient multiprogramming for multicores with SCAF. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Minsoo Rhu, Michael B. Sullivan 0001, Jingwen Leng, Mattan Erez A locality-aware memory hierarchy for energy-efficient GPU architectures. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mehrzad Samadi, Janghaeng Lee, Davoud Anoushe Jamshidi, Amir Hormati, Scott A. Mahlke SAGE: self-tuning approximation for graphics engines. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chao Li 0009, Yang Hu 0001, Ruijin Zhou, Ming Liu 0006, Longjun Liu, Jingling Yuan, Tao Li 0006 Enabling datacenter servers to scale out economically and sustainably. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gennady Pekhimenko, Vivek Seshadri, Yoongu Kim, Hongyi Xin, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry Linearly compressed pages: a low-complexity, low-latency main memory compression framework. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Xuehai Qian, Josep Torrellas, Benjamín Sahelices, Depei Qian BulkCommit: scalable and fast commit of atomic blocks in a lazy multiprocessor environment. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jishen Zhao, Sheng Li 0007, Doe Hyun Yoon, Yuan Xie 0001, Norman P. Jouppi Kiln: closing the performance gap between systems with and without persistence support. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wilson W. L. Fung, Tor M. Aamodt Energy efficient GPU transactional memory via space-time optimizations. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jie Fan 0004, Song Jiang 0001, Jiwu Shu, Youhui Zhang, Weimin Zhen Aegis: partitioning data block for efficient recovery of stuck-at-faults in phase change memory. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Abhisek Pan, Vijay S. Pai Imbalanced cache partitioning for balanced data-parallel programs. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Abhishek Bhattacharjee Large-reach memory management unit caches. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yusuf Onur Koçberber, Boris Grot, Javier Picorel, Babak Falsafi, Kevin T. Lim, Parthasarathy Ranganathan Meet the walkers: accelerating index traversals for in-memory databases. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Zebchuk, Babak Falsafi, Andreas Moshovos Multi-grain coherence directories. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jayesh Gaur, Raghuram Srinivasan, Sreenivas Subramoney, Mainak Chaudhuri Efficient management of last-level caches in graphics processors for 3D scene rendering workloads. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel A. Jiménez Insertion and promotion for tree-based PseudoLRU last-level caches. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hongbo Rong, Hyunchul Park, Cheng Wang 0013, Youfeng Wu Allocating rotating registers by scheduling. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohammad Abdel-Majeed, Daniel Wong 0001, Murali Annavaram Warped gates: gating aware scheduling and power gating for GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Aasheesh Kolli, Ali G. Saidi, Thomas F. Wenisch RDIP: return-address-stack directed instruction prefetching. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuya Kora, Kyohei Yamaguchi, Hideki Ando MLP-aware dynamic instruction window resizing for adaptively exploiting both ILP and MLP. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ritesh Parikh, Valeria Bertacco uDIREC: unified diagnosis and reconfiguration for frugal bypass of NoC faults. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Augusto Vega, Alper Buyuktosunoglu, Heather Hanson, Pradip Bose, Srinivasan Ramani Crank it up or dial it down: coordinated multiprocessor frequency and folding control. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mahdi Nazm Bojnordi, Engin Ipek DESC: energy-efficient data exchange using synchronized counters. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yiannakis Sazeides, Emre Özer 0001, Danny Kershaw, Panagiota Nikolaou, Marios Kleanthous, Jaume Abella 0001 Implicit-storing and redundant-encoding-of-attribute information in error-correction-codes. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Akanksha Jain, Calvin Lin Linearizing irregular memory accesses for improved correlated prefetching. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthew K. Farrens, Christos Kozyrakis (eds.) The 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7-11, 2013 Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vivek Seshadri, Yoongu Kim, Chris Fallin, Donghyuk Lee, Rachata Ausavarungnirun, Gennady Pekhimenko, Yixin Luo, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry RowClone: fast and energy-efficient in-DRAM bulk data copy and initialization. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hyungjun Kim, Arseniy Vitkovskiy, Paul V. Gratz, Vassos Soteriou Use it or lose it: wear-out and lifetime in future chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jason Power, Arkaprava Basu, Junli Gu, Sooraj Puthoor, Bradford M. Beckmann, Mark D. Hill, Steven K. Reinhardt, David A. Wood 0001 Heterogeneous system coherence for integrated CPU-GPU systems. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Syed Zohaib Gilani, Nam Sung Kim, Michael J. Schulte Exploiting GPU peak-power and performance tradeoffs through reduced effective pipeline latency. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Somayeh Sardashti, David A. Wood 0001 Decoupled compressed cache: exploiting spatial locality for energy-optimized compressed caching. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cansu Kaynak, Boris Grot, Babak Falsafi SHIFT: shared history instruction fetch for lean-core server processors. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jorge Albericio, Pablo Ibáñez, Víctor Viñals, José M. Llabería The reuse cache: downsizing the shared last-level cache. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Swagath Venkataramani, Vinay K. Chippa, Srimat T. Chakradhar, Kaushik Roy 0001, Anand Raghunathan Quality programmable vector processors for approximate computing. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Timothy G. Rogers, Mike O'Connor, Tor M. Aamodt Divergence-aware warp scheduling. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Raghuraman Balasubramanian, Karthikeyan Sankaralingam Virtually-aged sampling DMR: unifying circuit failure prediction and circuit failure detection. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andreas Sembrant, Erik Hagersten, David Black-Schaffer TLC: a tag-less cache for reducing dynamic first level cache energy. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Manjunath Shevgoor, Jung-Sik Kim, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, Aniruddha N. Udipi Quantifying the relationship between the power delivery network and architectural policies in a 3D-stacked memory device. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arslan Zulfiqar, Pranay Koka, Herb Schwetman, Mikko H. Lipasti, Xuezhe Zheng, Ashok V. Krishnamoorthy Wavelength stealing: an opportunistic approach to channel sharing in multi-chip photonic interconnects. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license