The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Yu-Wei Lee, Nur A. Touba Unified 3D test architecture for variable test data bandwidth across pre-bond, partial stack, and post-bond test. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17J.-Y. Hung, Noh-Jin Park, K. M. George, Nohpill Park Modeling and analysis of repair and maintenance processes in Fault Tolerant Systems. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Classes of difficult-to-diagnose transition fault clusters. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Amir-Pasha Mirbaha, Jean-Max Dutertre, Assia Tria Differential analysis of Round-Reduced AES faulty ciphertexts. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Gustavo K. Contreras, Md. Tauhidur Rahman 0001, Mohammad Tehranipoor Secure Split-Test for preventing IC piracy by untrusted foundry and assembly. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Stefano Campitelli, Marco Ottavi, Salvatore Pontarelli, Alessandro Marchioro, Daniele Felici, Fabrizio Lombardi F-DICE: A multiple node upset tolerant flip-flop for highly radioactive environments. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Elisa Quintarelli, Fabio Salice, Paolo Garza A data mining approach to incremental adaptive functional diagnosis. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Matteo Carminati, Antonio Miele, Anup Das 0001, Akash Kumar 0001, Bharadwaj Veeravalli Run-time mapping for reliable many-cores based on energy/performance trade-offs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Chandra Babu Dara, Themistoklis Haniotakis, Spyros Tragoudas Low power and high speed current-mode memristor-based TLGs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Mottaqiallah Taouil, Said Hamdioui, Erik Jan Marinissen, Sudipta Bhawmik Impact of mid-bond testing in 3D stacked ICs. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Hassan Salmani, Mohammad Tehranipoor Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level. Search on Bibsonomy DFTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Katherine A. McComas Researcher Views About Funding Sources and Conflicts of Interest in Nanotechnology. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ellen-Marie Forsberg Standardisation in the Field of Nanotechnology: Some Issues of Legitimacy. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mario Coccia Evolutionary trajectories of the nanotechnology research across worldwide economic players. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Dovev Lavie, Israel Drori Collaborating for Knowledge Creation and Application: The Case of Nanotechnology Research Programs. Search on Bibsonomy Organ. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Moaiyeri, Reza Chavoshisani, Ali Jalali, Keivan Navi, Omid Hashemipour High-Performance Mixed-Mode Universal Min-Max Circuits for Nanotechnology. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Smita Kolhe, Khushali Parikh Application of nanotechnology in cancer: a review. Search on Bibsonomy Int. J. Bioinform. Res. Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Stasa Milojevic Multidisciplinary Cognitive Content of Nanoscience and Nanotechnology Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
17Goio Etxebarria, Mikel Gomez-Uranga, Jon Barrutia Tendencies in scientific output on carbon nanotubes and graphene in global centers of excellence for nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sujit Bhattacharya 0001, Shilpa, Madhulika Bhati China and India: The two new players in the nanotechnology race. Search on Bibsonomy Scientometrics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jiancheng Guan, Yuan Shi Transnational citation, technological diversity and small world in global nanotechnology patenting. Search on Bibsonomy Scientometrics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Xianwen Wang, Shenmeng Xu, Di Liu, Yongxia Liang The role of Chinese-American scientists in China-US scientific collaboration: a study in nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Aashish Mehta, Patrick Herron, Yasuyuki Motoyama, Richard Appelbaum, Timothy Lenoir Globalization and de-globalization in nanotechnology research: the role of China. Search on Bibsonomy Scientometrics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Qingjun Zhao, Jiancheng Guan Modeling the dynamic relation between science and technology in nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Gamal S. Ahmed Modern Concepts in the Curriculum and the Teaching of Nanotechnology. Search on Bibsonomy Int. J. Inf. Commun. Technol. Educ. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jang Hyun Kim 0001 A Hyperlink and Semantic Network Analysis of the Triple Helix (University-Government-Industry): The Interorganizational Communication Structure of Nanotechnology. Search on Bibsonomy J. Comput. Mediat. Commun. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Abdoul Rjoub, Muna M. Al-Durrah The performance and behaviour of dual edge triggered flip-flops in nanotechnology. Search on Bibsonomy Int. J. Comput. Aided Eng. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Xuanting Ye, Yun Liu, Alan L. Porter International collaborative patterns in China's nanotechnology publications. Search on Bibsonomy Int. J. Technol. Manag. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yan Dang 0001, Yulei Zhang 0001, Hsinchun Chen, Susan A. Brown, Paul Jen-Hwa Hu, Jay F. Nunamaker Jr. Theory-Informed Design and Evaluation of an Advanced Search and Knowledge Mapping System in Nanotechnology. Search on Bibsonomy J. Manag. Inf. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Piotr Dziubecki, Piotr Grabowski, Michal Krysinski, Tomasz Kuczynski, Krzysztof Kurowski, Tomasz Piontek, Dawid Szejnfeld Online Web-Based Science Gateway for Nanotechnology Research. Search on Bibsonomy PL-Grid The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Zachary W. Ulissi, Mark C. Molaro, Michael S. Strano, Richard D. Braatz Systems nanotechnology: Identification, estimation, and control of nanoscale systems. Search on Bibsonomy ACC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jack H. Lutz The Computer Science of DNA Nanotechnology. Search on Bibsonomy LATA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Benjamin Schrempf, Petra Ahrweiler Modelling innovation networks of general purpose technologies: the case of nanotechnology. Search on Bibsonomy WSC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Milan Perkovac Maxwell's equations for nanotechnology. Search on Bibsonomy MIPRO The full citation details ... 2012 DBLP  BibTeX  RDF
17 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012 Search on Bibsonomy DFT The full citation details ... 2012 DBLP  BibTeX  RDF
17Tomas Markevicus, Nina Olsson, Monica Carfagni, Rocco Furferi, Lapo Governi, Luca Puggelli IMAT Project: From Innovative Nanotechnology to Best Practices in Art Conservation. Search on Bibsonomy EuroMed The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Richard A. Guinee A novel pseudonoise tester for transmission line fault location and identification using pseudorandom binary sequences. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Stefanos Valadimas, Yiorgos Tsiatouhas, Angela Arapoyanni, Adrian Evans Single event upset tolerance in flip-flop based microprocessor cores. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sreenivas Gangadhar, Spyros Tragoudas Accurate calculation of SET propagation probability for hardening. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Linus Feiten, Matthias Sauer 0002, Tobias Schubert 0001, Alexander Czutro, Eberhard Böhl, Ilia Polian, Bernd Becker 0001 #SAT-based vulnerability analysis of security components - A case study. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Oscar Acevedo, Dimitri Kagaris Using the Berlekamp-Massey algorithm to obtain LFSR characteristic polynomials for TPG. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yang Lu, Fabrizio Lombardi, Salvatore Pontarelli, Marco Ottavi On the design of two single event tolerant slave latches for scan delay testing. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Tomohiro Yoneda, Masashi Imai Dependable routing in multi-chip NoC platforms for automotive applications. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ali Arabi M. Shahi, Payman Zarkesh-Ha Prediction of gate delay variation for CNFET under CNT density variation. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Alireza Rohani, Hans G. Kerkhoff An on-line soft error mitigation technique for control logic of VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mohammad Maghsoudloo, Hamid R. Zarandi Dirty data vulnerability mitigation by means of sharing management in cache coherence protocols. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Juan Carlos Martínez Santos, Yunsi Fei Designing and implementing a Malicious 8051 processor. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Rohit Thomas, Israel Koren, Zahava Koren Relating digital imager defect rates to pixel size, sensor area and ISO. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Built-in generation of multi-cycle broadside tests. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jianping Gong, Yong-Bin Kim, Fabrizio Lombardi, Jie Han 0001 Hardening a memory cell for low power operation by gate leakage reduction. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Maintaining proximity to functional operation conditions under enhanced-scan tests based on functional broadside tests. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz Generation and compaction of mixed broadside and skewed-load n-detection test sets for transition faults. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Vadim Geurkov Optimal choice of arithmetic compactors for mixed-signal systems. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yifat Manzor, Osnat Keren Amalgamated q-ary codes for multi-level flash memories. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Xuehui Zhang, Kan Xiao, Mohammad Tehranipoor Path-delay fingerprinting for identification of recovered ICs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Kyu-Nam Shim, Jiang Hu A low overhead built-in delay testing with voltage and frequency adaptation for variation resilience. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Adam Watkins, Spyros Tragoudas Transient pulse propagation using the Weibull distribution function. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Rance Rodrigues, Israel Koren, Sandip Kundu A mechanism to verify cache coherence transactions in multicore systems. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Muhammad Tauseef Rab, Asad Amin Bawa, Nur A. Touba Implementing defect tolerance in 3D-ICs by exploiting degrees of freedom in assembly. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Kazuteru Namba, Takashi Katagiri, Hideo Ito Dual-edge-triggered FF with timing error detection capability. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Gabriel L. Nazar, Luigi Carro Fast single-FPGA fault injection platform. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Antonio Miele, Chiara Sandionigi, Marco Ottavi, Salvatore Pontarelli, Adelio Salsano, Cecilia Metra, Martin Omaña 0001, Daniele Rossi 0001, Matteo Sonza Reorda, Luca Sterpone, Massimo Violante, Simone Gerardin, Marta Bagatin, Alessandro Paccagnella High-reliability fault tolerant digital systems in nanometric technologies: Characterization and design methodologies. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Fabrizio Lombardi, Nohpill Park, Haider A. F. Almurib, T. Nandha Kumar On the multiple fault detection of a nano crossbar. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Chuanlei Zheng, Parijat Shukla, Shuai Wang 0006, Jie S. Hu Exploring hardware transaction processing for reliable computing in chip-multiprocessors against soft errors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Marcelo de Souza Moraes, Marcos Barcellos Hervé, Marcelo Lubaszewski Low pin count DfT technique for RFID ICs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Xinmu Wang, Tatini Mal-Sarkar, Aswin Raghav Krishna, Seetharam Narasimhan, Swarup Bhunia Software exploitable hardware Trojans in embedded processor. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Da Cheng, Sandeep K. Gupta 0001 A systematic methodology to improve yield per area of highly-parallel CMPs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Seyab Khan, Said Hamdioui, Halil Kukner, Praveen Raghavan, Francky Catthoor Incorporating parameter variations in BTI impact on nano-scale logical gates analysis. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mehryar Rahmatian, Hessam Kooti, Ian G. Harris, Elaheh Bozorgzadeh Minimization of Trojan footprint by reducing Delay/Area impact. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Wenpo Zhang, Kazuteru Namba, Hideo Ito Improving small-delay fault coverage for on-chip delay measurement. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mafalda Cortez, Apurva Dargar, Said Hamdioui, Geert Jan Schrijen Modeling SRAM start-up behavior for Physical Unclonable Functions. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Martin Omaña 0001, Daniele Rossi 0001, G. Collepalumbo, Cecilia Metra, Fabrizio Lombardi Faults affecting the control blocks of PV arrays and techniques for their concurrent detection. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ke Huang 0001, John M. Carulli Jr., Yiorgos Makris Parametric counterfeit IC detection via Support Vector Machines. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jean DaRolt, Amitabh Das, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede A scan-based attack on Elliptic Curve Cryptosystems in presence of industrial Design-for-Testability structures. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Cinzia Bernardeschi, Luca Cassano, Andrea Domenici, Luca Sterpone Accurate simulation of SEUs in the configuration memory of SRAM-based FPGAs. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Asad Amin Bawa, Muhammad Tauseef Rab, Nur A. Touba Using partial masking in X-chains to increase output compaction for an X-canceling MISR. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Davide Sabena, Matteo Sonza Reorda, Luca Sterpone On the development of Software-Based Self-Test methods for VLIW processors. Search on Bibsonomy DFT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17René von Schomberg On Identifying Plausibility and Deliberative Public Policy - Commentary on: "Negotiating Plausibility: Intervening in the Future of Nanotechnology". Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Cynthia Selin Negotiating Plausibility: Intervening in the Future of Nanotechnology. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Xuan Liu 0004, Siddharth Kaza, Pengzhu Zhang, Hsinchun Chen Determining inventor status and its effect on knowledge diffusion: A study on nanotechnology literature from China, Russia, and India. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Christine Shea, Roger Grinde, Bruce Elmslie Nanotechnology as general-purpose technology: empirical evidence and implications. Search on Bibsonomy Technol. Anal. Strateg. Manag. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Harry Rudin Building a Building for Nanotechnology. Search on Bibsonomy ERCIM News The full citation details ... 2011 DBLP  BibTeX  RDF
17Mohammad Hossein Moaiyeri, Akbar Doostaregan, Keivan Navi Design of energy-efficient and robust ternary circuits for nanotechnology. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yocheved Dotan, Nadav Levison, David J. Lilja Fault tolerance for nanotechnology devices at the bit and module levels with history index of correct computation. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ehsan Pour Ali Akbar, Majid Haghparast, Keivan Navi Novel design of a fast reversible Wallace sign multiplier circuit in nanotechnology. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Md. Saiful Islam 0003, Mohd. Zulfiquar Hafiz, Zerina Begum Quantum Cost Efficient Reversible BCD Adder for Nanotechnology Based Systems Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
17Loet Leydesdorff An Evaluation of Impacts in "Nanoscience & nanotechnology:" Steps towards standards for citation analysis Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
17Li Tang 0005, Philip Shapira Regional development and interregional collaboration in the growth of nanotechnology research in China. Search on Bibsonomy Scientometrics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jue Wang 0009, Philip Shapira Funding acknowledgement analysis: an enhanced tool to investigate research sponsorship impacts: the case of nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17R. Karpagam, S. Gopalakrishnan, M. Natarajan, B. Ramesh Babu Mapping of nanoscience and nanotechnology research in India: a scientometric analysis, 1990-2009. Search on Bibsonomy Scientometrics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Li Tang 0005, Philip Shapira China-US scientific collaboration in nanotechnology: patterns and dynamics. Search on Bibsonomy Scientometrics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Selen Onel, Abe Zeid, Sagar V. Kamarthi The structure and analysis of nanotechnology co-author and citation networks. Search on Bibsonomy Scientometrics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Morteza Maghrebi, Ali Abbasi 0003, Saeid Amiri, Reza Monsefi, Ahad Harati A collective and abridged lexical query for delineation of nanotechnology publications. Search on Bibsonomy Scientometrics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Daniel Russo 0003, Randal D. Fagan, Thorsten Hesjedal An Undergraduate Nanotechnology Engineering Laboratory Course on Atomic Force Microscopy. Search on Bibsonomy IEEE Trans. Educ. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Welchy Cavalcanti, Michael Hoffmann 0006, Marc Amkreutz, Peter Schiffels Computational nanotechnology for functional coatings. Search on Bibsonomy J. Cheminformatics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Dennis G. Thomas, Rohit V. Pappu, Nathan A. Baker NanoParticle Ontology for cancer nanotechnology research. Search on Bibsonomy J. Biomed. Informatics The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Lyria Bennett Moses Regulating Beyond Nanotechnology. Search on Bibsonomy IEEE Technol. Soc. Mag. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Michael D. Mehta Understanding Advances in Nanotechnology: Minimizing Risks and Maximizing Benefits with Application of the Appropriate Governance Framework. Search on Bibsonomy Int. J. Nanotechnol. Mol. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ndubuisi Ekekwe Nanotechnology and Microelectronics: The Science, Trends and Global Diffusion. Search on Bibsonomy Int. J. Nanotechnol. Mol. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Silvanus J. Udoka, Chi Anyansi-Archibong Emerging Exposure Risks and Ethics of the Nanotechnology Workplace. Search on Bibsonomy Int. J. Nanotechnol. Mol. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license