The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Cagdas Akturan, Margarida F. Jacome RS-FDRA: A register-sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Takahiro Kumura, Masao Ikekawa, Makoto Yoshida, Ichiro Kuroda VLIW DSP for mobile applications. Search on Bibsonomy IEEE Signal Process. Mag. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Oliver P. Sohm, David R. Bull, Cedric Nishan Canagarajah Efficient methodology for hand-coding video algorithms for VLIW-type processors. Search on Bibsonomy Signal Process. Image Commun. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Christoph W. Keßler, Andrzej Bednarski Optimal integrated code generation for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated code generation, space profile, dynamic programming, register allocation, instruction scheduling, instruction selection
16Yi Qian, Steve Carr 0001, Philip H. Sweany Optimizing Loop Performance for Clustered VLIW Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Manvi Agarwal, S. K. Nandy 0001, Jos T. J. van Eijndhoven, S. Balakrishanan Multithreaded Architectural Support for Speculative Trace Scheduling in VLIW Processors. Search on Bibsonomy SBCCI The full citation details ... 2002 DBLP  BibTeX  RDF
16Manvi Agarwal, S. K. Nandy 0001, Jos T. J. van Eijndhoven, Srinivasan Balakrishnan On the Benefits of Speculative Trace Scheduling in VLIW Processors. Search on Bibsonomy PDPTA The full citation details ... 2002 DBLP  BibTeX  RDF
16Won-Kee Hong, Shin-Dug Kim A Performance and Power Efficient Instruction Cache for VLIW. Search on Bibsonomy PDPTA The full citation details ... 2002 DBLP  BibTeX  RDF
16Daniele Bagni, Antonio Borneo, Luca Celetto Efficient IDCT implementations on VLIW processors. Search on Bibsonomy EUSIPCO The full citation details ... 2002 DBLP  BibTeX  RDF
16F. Jesús Sánchez, Antonio González 0001 Clustered Modulo Scheduling in a VLIW Architecture with Distributed Cache . Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
16Marco Bekooij, Loek J. M. Engels, Albert van der Werf, Natalino G. Busá Functional units with conditional input/output behavior in VLIW processors. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Andrei Sergeevich Terechko, Evert-Jan D. Pol, Jos T. J. van Eijndhoven PRMDL: a machine description language for clustered VLIW architectures. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16V. A. Zivkovic, Ronald J. W. T. Tangelder, Hans G. Kerkhoff An implementation for test-time reduction in VLIW transport-triggered architectures. Search on Bibsonomy ETW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Sid Ahmed Ali Touati Register Saturation in Superscalar and VLIW Codes. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Muhammad Sohail Sadiq, Shoab Ahmed Khan Scheduling of Digital Signal Processing Algorithms on StarCore VLIW DSP. Search on Bibsonomy PDCS The full citation details ... 2001 DBLP  BibTeX  RDF
16Yuan Xie 0001, Haris Lekatsas, Wayne H. Wolf Code Compression for VLIW Processors. Search on Bibsonomy Data Compression Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Marco Bekooij, Jochen A. G. Jess, Jef L. van Meerbergen Phase coupled operation assignment for VLIW processors with distributed register files. Search on Bibsonomy ISSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Radu Muresan, Catherine H. Gebotys Current consumption dynamics at instruction and program level for a VLIW DSP processor. Search on Bibsonomy ISSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Elana D. Granston, Eric Stotzer, Joe Zbiciak Software Pipelining Irregular Loops On the TMS320C6000 VLIW DSP Architecture. Search on Bibsonomy OM@PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16William R. Mark, Kekoa Proudfoot Compiling to a VLIW Fragment Pipeline. Search on Bibsonomy Workshop on Graphics Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Cagdas Akturan, Margarida F. Jacome CALiBeR: A Software Pipelining Algorithm for Clustered Embedded VLIW Processors. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Marcio Buss, Rodolfo Azevedo, Paulo Centoducatte, Guido Araujo Tailoring pipeline bypassing and functional unit mapping to application in clustered VLIW architectures. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Han-Saem Yun, Jihong Kim 0001 Power-aware modulo scheduling for high-performance VLIW processors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin A Framework for Energy Estimation of VLIW Architecture. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Huiyang Zhou, Matthew D. Jennings, Thomas M. Conte Tree Traversal Scheduling: A Global Instruction Scheduling Technique for VLIW/EPIC Processors. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Viktor S. Lapinskii, Margarida F. Jacome, Gustavo de Veciana High-Quality Operation Binding for Clustered VLIW Datapaths. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Miroslav N. Velev, Randal E. Bryant Effective Use of Boolean Satisfiability Procedures in the Formal Verification of Superscalar and VLIW Microprocessors. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Margarida F. Jacome, Gustavo de Veciana, Satish Pillai Clustered VLIW Architectures with Predicated Switching. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Alberto Ferreira de Souza, Peter Rounce Dynamically Scheduling VLIW Instructions. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Won-Kee Hong, Shin-Dug Kim A section cache system designed for VLIW architectures. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Miroslav N. Velev Formal Verification of VLIW Microprocessors with Speculative Execution. Search on Bibsonomy CAV The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Cesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami Determining the optimum extended instruction-set architecture for application specific reconfigurable VLIW CPUs (poster abstract). Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Two-level hierarchical register file organization for VLIW processors. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16F. Jesús Sánchez, Antonio González 0001 Modulo scheduling for a fully-distributed clustered VLIW architecture. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Yong Zhang, Kai-Kuang Ma, Qingdong Yao Novel video signal processor with VLIW-controlled SIMD architecture. Search on Bibsonomy VCIP The full citation details ... 2000 DBLP  BibTeX  RDF
16Shoab Ahmad Khan, Malik M. Saqib, Sherjil Ahmed Parallel Viterbi algorithm for a VLIW DSP. Search on Bibsonomy ICASSP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16M. Beardo, Francesco Bruschi, Fabrizio Ferrandi, Donatella Sciuto An approach to functional testing of VLIW architectures. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Gunter Haug, Udo Kebschull, Wolfgang Rosenstiel Emulation synthetisierter Verhaltensbeschreibungen mit VLIW-Prozessoren. Search on Bibsonomy MBMV The full citation details ... 2000 DBLP  BibTeX  RDF
16Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria Power Exploration for Embedded VLIW Architectures. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Margarida F. Jacome, Gustavo de Veciana, Viktor S. Lapinskii Exploring Performance Tradeoffs for Clustered VLIW ASIPs. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hiroaki Suzuki, Hiroshi Making, Yoshio Matsuda Novel VLIW code compaction method for a 3D geometry processor. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Sang-Joon Nam, Byoung-Woon Kim, Yeon-Ho Im, Young-Su Kwon, Jun-Hee Lee, Young-Wook Cheon, Sung-Jae Byun, Dae-Hyun Lee, Chong-Min Kyung FLOVA: A four-issue VLIW geometry processor with SIMD instructions and lighting acceleration unit. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hansoo Kim, Woo-Seung Yang, Myoung-Cheol Shin, Seung-Jai Min, Seong-Ok Bae, In-Cheol Park Multi-thread VLIW processor architecture for HDTV decoding. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Ivano Barbieri, Massimo Bariani, Marco Raggio A VLIW Architecture Simulator Innovative Approach for HW-SW Co-design. Search on Bibsonomy IEEE International Conference on Multimedia and Expo (III) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Subramanian Rajagopalan, Manish Vachharajani, Sharad Malik Handling irregular ILP within conventional VLIW schedulers using artificial resource constraints. Search on Bibsonomy CASES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16B. Ramakrishna Rau, Vinod Kathail, Shail Aditya Machine-Description Driven Compilers for EPIC and VLIW Processors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Hajime Kubosawa, Naoshi Higaki, Satoshi Ando, Hiromasa Takahashi, Yoshimi Asada, Hideaki Anbutsu, Tomio Sato, Masato Sakate, Atsuhiro Suga, Michihide Kimura, Hideo Miyake, Hiroshi Okano, Akira Asato, Yasunori Kimura, Hiroshi Nakayama, Masayoshi Kimoto, Katsuji Hirochi, Hideki Saito 0005, Norio Kaido, Yukihiro Nakagawa, Toshio Shimada A 2.5-GFLOPS, 6.5 million polygons per second, four-way VLIW geometry processor with SIMD instructions and a software bypass mechanism. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Sang-Joon Nam, Young-Su Kwon, Yeon-Ho Im, Kyung-Ku Kang, Chong-Min Kyung DIVA: dual-issue VLIW architecture with media instructions for image processing. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Kiyoshige Nakamura, Keiichi Sakai, Tadashi Ae Multimedia data processing using a VLIW hardware stack processor. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Cesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami A DAG-Based Design Approach for Reconfigurable VLIW Processors. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Alberto Ferreira de Souza, Peter Rounce Effect of Multicycle Intructions on the Integer Performance of the Dynamixcally Trace Scheduled VLIW Architecture. Search on Bibsonomy HPCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Todd D. Hodes, Adrian Freed Second-order Recursive Oscillators for Musical Additive Synthesis Applications on SIMD and VLIW Processors. Search on Bibsonomy ICMC The full citation details ... 1999 DBLP  BibTeX  RDF
16Oliver P. Sohm, David R. Bull, Cedric Nishan Canagarajah Fast 2D-DCT implementations for VLIW processors. Search on Bibsonomy MMSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Margarida F. Jacome, Gustavo de Veciana, Cagdas Akturan Resource constrained dataflow retiming heuristics for VLIW ASIPs. Search on Bibsonomy CODES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Alberto Ferreira de Souza Integer performance evaluation of the dynamically trace scheduled VLIW. Search on Bibsonomy 1999   RDF
16Nat Seshan High VelociTI processing [Texas Instruments VLIW DSP architecture]. Search on Bibsonomy IEEE Signal Process. Mag. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Konstantinos Konstantinides VLIW Architecture For Media Processing. Search on Bibsonomy IEEE Signal Process. Mag. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Alberto Ferreira de Souza, Peter Rounce Dynamically Trace Scheduled VLIW Architectures. Search on Bibsonomy HPCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Marcio Merino Fernandes, Josep Llosa, Nigel P. Topham Partitioned Schedules for Clustered VLIW Architectures. Search on Bibsonomy IPPS/SPDP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Zhao Wu, Wayne H. Wolf Data-Path Synthesis of VLIW Video Signal Processors. Search on Bibsonomy ISSS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16T. Buchholz, Gunter Haug, Udo Kebschull, Gernot Koch, Wolfgang Rosenstiel Behavioral Emulation of Synthesized RT-Level Descriptions Using VLIW Architectures. Search on Bibsonomy International Workshop on Rapid System Prototyping The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Kemal Ebcioglu, Jason Fritts, Stephen Kosonocky, Michael Gschwind, Erik R. Altman, Krishnan Kailas, Terry Bright An eight-issue tree-VLIW processor for dynamic binary translation. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Rizos Sakellariou, Christine Eisenbeis, Peter M. W. Knijnenburg Efficient implementation of the row-column 8×8 IDCT on VLIW architectures. Search on Bibsonomy EUSIPCO The full citation details ... 1998 DBLP  BibTeX  RDF
16Marcio Merino Fernandes A clustered VLIW architecture based on queue register files. Search on Bibsonomy 1998   RDF
16Jörg Wilberg, Raul Camposano VLIW Processor Codesign for Video Processing. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Chris Basoglu, Woobin Lee, Yongmin Kim 0001 An Efficient FFT Algorithm for Superscalar and VLIW Processor Architectures. Search on Bibsonomy Real Time Imaging The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Martti Forsell MTAC - A Multithreaded VLIW Architecture for PRAM Simulation. Search on Bibsonomy J. Univers. Comput. Sci. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jaime H. Moreno, Mayan Moudgill, Kemal Ebcioglu, Erik R. Altman, C. Brian Hall, Rene Miranda, Shyh-Kwei Chen, Arkady Polyak Simulation/evaluation environment for a VLIW processor architecture. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Thomas M. Conte, Sumedh W. Sathaye Optimization of VLIW compatibility systems employing dynamic rescheduling. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Alberto Ferreira de Souza, Edil S. T. Fernandes, Andrew Wolfe On the balance of VLIW architectures. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1997 DBLP  BibTeX  RDF
16Henk Corporaal Microprocessor architectures - from VLIW to TTA. Search on Bibsonomy 1997   RDF
16Johannes Kneip, Mladen Berekovic, Peter Pirsch An algorithm-hardware-system approach to VLIW multimedia processors. Search on Bibsonomy MMSP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Russell E. Henning, Chaitali Chakrabarti High-Level Design Synthesis of a Low Power, VLIW Processor for the IS-54 VSELP Speech Encoder. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Mayan Moudgill Implementing an experimental VLIW compiler. Search on Bibsonomy WCAE@HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jean-Paul Theis, Lothar Thiele VLIW-Processors under Periodic Real Time Constraints. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Risc architecture, frontend compilers, embedded systems
16Shusuke Okamoto, Masahiro Sowa Hybrid Processor Based on VLIW and PN-Superscalar. Search on Bibsonomy PDPTA The full citation details ... 1996 DBLP  BibTeX  RDF
16Kiyoshige Nakamura, Keiichi Sakai, Tadashi Ae A VLIW Processor For Real-Time Signal Processing. Search on Bibsonomy ISSPA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Andrea Capitanio, Alexandru Nicolau, Nikil D. Dutt A hypergraph-based model for port allocation on multiple-register-file VLIW architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Cristina Barrado, Jesús Labarta, Eduard Ayguadé, Mateo Valero Automatic generation of loop scheduling for VLIW. Search on Bibsonomy PACT The full citation details ... 1995 DBLP  BibTeX  RDF
16Thomas M. Conte, Sumedh W. Sathaye Dynamic rescheduling: a technique for object code compatibility in VLIW architectures. Search on Bibsonomy MICRO The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16James Radigan, Pohua P. Chang, Utpal Banerjee Integer Loop Code Generation for VLIW. Search on Bibsonomy LCPC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16John Lenell, Nader Bagherzadeh A performance comparison of several superscalar processor models with a VLIW processor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Marc Pouzet Fine Grain Parallelisation of Functional Programs for VLIW or Super-scalar Architectures. Search on Bibsonomy Applications in Parallel and Distributed Computing The full citation details ... 1994 DBLP  BibTeX  RDF
16Josep Llosa, Mateo Valero, José A. B. Fortes, Eduard Ayguadé Using Sacks to Organize Registers in VLIW Machines. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Shyh-Kwei Chen, W. Kent Fuchs, Wen-mei W. Hwu An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures. Search on Bibsonomy ICPP (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau Partitioning of Variables for Multiple-Register-File VLIW Architectures. Search on Bibsonomy ICPP (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Wen-mei W. Hwu, Scott A. Mahlke, William Y. Chen, Pohua P. Chang, Nancy J. Warter, Roger A. Bringmann, Roland G. Ouellette, Richard E. Hank, Tokuzo Kiyohara, Grant E. Haab, John G. Holm, Daniel M. Lavery The superblock: An effective technique for VLIW and superscalar compilation. Search on Bibsonomy J. Supercomput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Michael A. Schuette, John Paul Shen Instruction-level experimental evaluation of the Multiflow TRACE 14/300 VLIW computer. Search on Bibsonomy J. Supercomput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Bogong Su, Jian Wang 0046, Zhizhong Tang, Chihong Zhang, Wei Zhao URPR-1: A single-chip VLIW architecture. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Peter Pfahler, Christof Nagel, Franz-Josef Rammig, Uwe Kastens Design of a VLIW architecture constructed from standard RISC chips: A case study of hardware/software codesign. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Fleur L. Steven, Rod Adams, Gordon B. Steven, L. Wang, D. J. Whale Addressing mechanisms for VLIW and superscalar processors. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16H. Fatih Ugurdag, Christos A. Papachristou A VLIW architecture based on shifting register files. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16John Lenell, Nader Bagherzadeh A Performance Comparison of Several Superscalar Processor Models with a VLIW Processor. Search on Bibsonomy IPPS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16David A. Berson, Rajiv Gupta 0001, Mary Lou Soffa URSA: A Unified ReSource Allocator for Registers and Functional Units in VLIW Architectures. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
16Soo-Mook Moon, Kemal Ebcioglu, Ashok K. Agrawala Selective Scheduling Framework for Speculative Operations in VLIW and Superscalar Processors. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
16G. Menez, Michel Auguin, Fernand Boéri, C. Carrière Contribution of Compilation Techniques to the Synthesis of Dedicated VLIW Architectures. Search on Bibsonomy Architectures and Compilation Techniques for Fine and Medium Grain Parallelism The full citation details ... 1993 DBLP  BibTeX  RDF
16Soo-Mook Moon, Kemal Ebcioglu On Performance, Efficiency of VLIW and Superscalar. Search on Bibsonomy ICPP (2) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16C. Carrière, Michel Auguin, Fernand Boéri, G. Menez A comparison study of minimization methods of unit interconnection in VLIW processors. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Tokuzo Kiyohara, John C. Gyllenhaal Code scheduling for VLIW/superscalar processors with limited register files. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license