The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Verilog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1996 (20) 1997-1998 (28) 1999 (24) 2000 (30) 2001 (23) 2002 (33) 2003 (48) 2004 (44) 2005 (60) 2006 (57) 2007 (64) 2008 (68) 2009 (35) 2010-2011 (18) 2012-2013 (24) 2014-2015 (30) 2016 (16) 2017 (18) 2018 (15) 2019 (24) 2020 (15) 2021-2022 (33) 2023 (22) 2024 (5)
Publication types (Num. hits)
article(130) book(8) incollection(4) inproceedings(609) phdthesis(3)
Venues (Conferences, Journals, ...)
DATE(27) CoRR(24) DAC(22) ISCAS(20) VLSI Design(19) DSD(12) ICCAD(12) FPGA(11) MEMOCODE(11) ICCD(10) IEEE Trans. Comput. Aided Des....(10) IEEE Trans. Very Large Scale I...(10) ISQED(10) FMCAD(9) MSE(9) PATMOS(9) More (+10 of total 292)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 313 keywords

Results
Found 754 publication records. Showing 754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Oskar Mencer, Luc Séméria, Martin Morf, Jean-Marc Delosme Application of Reconfigurable CORDIC Architectures. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Manuela Anton, Mauro Chinosi, Daniele Sirtori, Roberto Zafalon Architectural Design Space Exploration Achieved through Innovative RTL Power Estimation Techniques. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Achim Freimann Framework for High-Level Power Estimation of Signal Processing Architectures. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Yoochang Jung, Yukio Chiba, Donglok Kim, Yongmin Kim 0001 simCore: An Event-Driven Simulation Framework for Performance Evaluation of Computer Systems. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Joerg Abke, Erich Barke CoMGen: Direct Mapping of Arbitrary Components into LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Basant Rajan, R. K. Shyamasundar Multiclock Esterel: A Reactive Framework for Asynchronous Design. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VHDL, Reactive Systems, Asynchronous System, Synchrony, Esterel
10Tsutomu Yoshinaga, Masaya Hayashi, Maki Horita, Sayuri Nakamura, Kanemitsu Ootsu, Takanobu Baba Recover-X: An Adaptive Router with Limited Escape Channels. Search on Bibsonomy ICPADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wormhole routers, adaptive routing, hardware description language, hardware cost, deadlock recovery
10Hoon Choi, Myung-Kyoon Yim, Jae Young Lee, Byeong-Whee Yun, Yun-Tae Lee Formal Verification of an Industrial System-on-a-Chip. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Marius Pirvu, Laxmi N. Bhuyan, Rabi N. Mahapatra Hierarchical Simulation of a Multiprocessor Architecture. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Chuang Cheng, Chih-Tsun Huang, Jing-Reng Huang, Cheng-Wen Wu, Chen-Jong Wey, Ming-Chang Tsai BRAINS: A BIST Compiler for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Christoph Meinel, Christian Stangier Speeding Up Image Computation by Using RTL Information. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Dennis Abts, Mike Roberts, David J. Lilja A Balanced Approach to High-Level Verification: Performance Trade-Offs in Verifying Large-Scale Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Arun K. Majumdar, Nirav Patel Design of an ASIC for Straight Line Detection in an Image. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hough Transform, CORDIC, ASIC Design
10Prabir Dasgupta, Santanu Chattopadhyay, Indranil Sengupta 0001 Cellular Automata Based Deterministic Test Sequence Generator for Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Yang Xia, Pranav Ashar Verification of a Combinational Loop Based Arbitration Scheme in a System-On-Chip Integration Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Combinational Loop, Model Checking, Formal Verification, Temporal Logic, Time Division Multiplexing, Token Ring, Computational Tree Logic, Bus Protocol
10Steven Trimberger, Raymond Pang, Amit Singh A 12 Gbps DES Encryptor/Decryptor Core in an FPGA. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Ramesh Radhakrishnan, Deependra Talla, Lizy Kurian John Allowing for ILP in an embedded Java processor. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Marcelino B. Santos, João Paulo Teixeira 0001 Defect-Oriented Mixed-Level Fault Simulation of Digital Systems-on-a-Chip Using HDL. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Shin'ichi Wakabayashi, Tetsushi Koide, Naoyoshi Toshine, Mutsuaki Goto, Yoshikatsu Nakayama, Koichi Hatta An LSI Implementation of an Adaptive Genetic Algorithm with On-The Fly Crossover Operator Selection. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Masayuki Takahashi, Kimihiro Ogawa, Kenneth S. Kundert VCO Jitter Simulation and Its Comparison With Measurement. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Susan A. Mengel, Joseph V. Ulans A Case Study of the Analysis of Novice Student Programs. Search on Bibsonomy CSEE&T The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Donald W. Bouldin, Senthil Natarajan, Benjamin A. Levine, Chandra Tan, Danny F. Newport Training IP Creators and Integrators. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Ryuichi Takahashi, Noriyoshi Yoshida Diagonal Examples for Design Space Exploration in an Educational Environment CITY-1. Search on Bibsonomy MSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Susan A. Mengel, Vinay Yerramilli A case study of the static analysis of the quality of novice student programs. Search on Bibsonomy SIGCSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jonathan Babb, Martin C. Rinard, Csaba Andras Moritz, Walter Lee, Matthew I. Frank, Rajeev Barua, Saman P. Amarasinghe Parallelizing Applications into Silicon. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Damian Dalton Analysis of an Associative Array Parallel Logic Simulator. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Srivatsan Srinivasan, Lizy Kurian John On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware resource allocation, superscalar processor, pseudorandom sequences, reorder buffer
10Eduard Cerny, Fen Jin Verification of Real Time Controllers Against Timing Diagram Specifications Using Constraint Logic Programming. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Interface verification, interface controllers, relational interval arithmetic, constraint logic programming, timing verification, timing diagrams
10Huimin Xia, Khaldoun Bataineh, Marwan Hassoun, Joe Kryzak A mixed-signal behavioral level implementation of 1000BASE-X physical layer for gigabit Ethernet. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10C.-C. Wang, C. J. Huang, P.-M. Lee A comparison of two alternative architectures of digital ratioed compressor design for inner product processing. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10C.-C. Wang, C. J. Huang, G.-C. Lin A chip design of radix-4/2 64b/32b signed and unsigned integer divider using Compass cell library. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10E. K. Ogoubi, Eduard Cerny Synthesis of checker EFSMs from timing diagram specifications. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Swarup Bhunia, Soumya K. Ghosh 0001, Pramod Kumar, Partha Pratim Das, Jayanta Mukherjee 0001 Design, Simulation and Synthesis of an ASIC for Fractal Image Compression. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Kwang-Il Park, Kyu Ho Park Event suppression by optimizing VHDL programs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Wilfred Corrigan ASIC Challenges: Emerging from a Primordial Soup. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Ratan Nalumasu, Rajnish Ghughal, Abdelillah Mokkedem, Ganesh Gopalakrishnan The 'Test Model-Checking' Approach to the Verification of Formal Memory Models of Multiprocessors. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Gurmeet Singh Manku, Ramin Hojati, Robert K. Brayton Structural Symmetry and Model Checking. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Juan Carlos Diaz, Pierre Plaza, Jesus Crespo ATM Traffic Shaper: ATS. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ATM, Traffic Shaping, FPGA Prototyping
10A. C. Verschueren Rule Base Driven Conversion of an Object Oriented Design Data Structure into Standard Hardware Description Languages. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Jianping Lu, Sofiène Tahar Practical Approaches to the Automatic Verification of an ATM Switch Fabric Using VIS. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Ásgeir Th. Eiríksson The Formal Design of 1M-gate ASICs. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Defect-oriented test quality assessment using fault sampling and simulation. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Roberto Passerone, James A. Rowson, Alberto L. Sangiovanni-Vincentelli Automatic Synthesis of Interfaces Between Incompatible Protocols. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
10Franco Fummi, U. Rovati, Donatella Sciuto Functional design for testability of control-dominated architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interacting FSMs, functional testing
10Kwang-Il Park, Jun Sung Kim, Heung Bum Kim, Jong Hyuk Choi, Kyu Ho Park The Acceleration of VHDL Simulation by Classifying Events. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Jonathan Babb, Matthew I. Frank, Victor Lee, Elliot Waingold, Rajeev Barua, Michael B. Taylor, Jang Kim, Devabhaktuni Srikrishna, Anant Agarwal The RAW benchmark suite: computation structures for general purpose computing. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Vincent John Mooney III, Giovanni De Micheli Real time analysis and priority scheduler generation for hardware-software systems with a synthesized run-time system. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF worst-case execution time, rtos, hardware-software codesign, real-time analysis, run-time scheduler
10Franco Fummi, Mariagiovanna Sami, F. Tartarini Use of Statecharts-Related Description to Achieve Testable Design of Control Subsystems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Raghuram S. Tupuri, Jacob A. Abraham A Novel Hierarchical Test Generation Method for Processors. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Ashley Rasquinha, N. Ranganathan C3L: A Chip for Connected Component Labeling. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Jian Li 0061, Rajesh K. Gupta 0001 Limited Exception Modeling and Its Use in Presynthesis Optimizations. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Ghassan Al Hayek, Chantal Robach On the Adequacy of Deriving Hardware Test Data from the Behavioral Specification. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware test data, behavioral fault modeling, gate-level strategies, high-level fault detection, gate-level fault detection, design automation tools, generated test set, gate-level fault coverage, hardware description languages, hardware description languages, behavioral specification
10Jennifer Rexford, John Hall, Kang G. Shin A Router Architecture for Real-Time Point-to-Point Networks. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Richard C. Ho, C. Han Yang, Mark Horowitz, David L. Dill Architecture Validation for Processors. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #754 of 754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license