The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adders with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1974 (15) 1975-1980 (15) 1982-1987 (18) 1988-1990 (21) 1991-1992 (25) 1993 (20) 1994 (19) 1995 (26) 1996 (17) 1997 (20) 1998 (25) 1999 (32) 2000 (33) 2001 (48) 2002 (34) 2003 (55) 2004 (43) 2005 (62) 2006 (65) 2007 (69) 2008 (68) 2009 (32) 2010 (32) 2011 (27) 2012 (24) 2013 (24) 2014 (18) 2015 (18) 2016 (26) 2017 (31) 2018 (39) 2019 (31) 2020 (38) 2021 (42) 2022 (29) 2023 (43) 2024 (7)
Publication types (Num. hits)
article(465) incollection(4) inproceedings(717) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 823 occurrences of 430 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Kyung-Ju Cho, Suhyun Jo, Yong-Eun Kim, Yi-Nan Xu, Jin-Gyun Chung Constant multiplier design using specialized bit pattern adders. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Taeko Matsunaga, Yusuke Matsunaga Timing-Constrained Area Minimization Algorithm for Parallel Prefix Adders. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Hiroaki Suzuki, Woopyo Jeong, Kaushik Roy 0001 Adaptive Supply Voltage for Low-Power Ripple-Carry and Carry-Select Adders. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang Low energy 16-bit Booth leapfrog array multiplier using dynamic adders. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Guadalupe Miñana, José Ignacio Hidalgo, Juan Lanchares, José Manuel Colmenar, Oscar Garnica, Sonia López Reducing power of functional units in high-performance processors by checking instruction codes and resizing adders. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Chiu-Wah Ng, Ngai Wong, Tung-Sang Ng Bit-Stream Adders and Multipliers for Tri-Level Sigma-Delta Modulators. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jon Alfredsson, Snorre Aunet Performance of CMOS and Floating-Gate Full-Adders Circuits at Subthreshold Power Supply. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Fekri Kharbash, Ghulam M. Chaudhry The performance of parallel prefix adders on nanometer FPGA. Search on Bibsonomy PDCS The full citation details ... 2007 DBLP  BibTeX  RDF
16Massimo Alioto, Gaetano Palumbo Very high-speed carry computation based on mixed dynamic/transmission-gate Full Adders. Search on Bibsonomy ECCTD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16J. J. Rodriguez-Navarro Comments on "Carry checking/parity prediction adders and ALUs". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 Systematic Interpretation of Redundant Arithmetic Adders in Binary and Multiple-Valued Logic. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Himanshu Thapliyal, Hamid R. Arabnia, M. B. Srinivas Reduced Area Low Power High Throughput BCD Adders for IEEE 754r Format Search on Bibsonomy CoRR The full citation details ... 2006 DBLP  BibTeX  RDF
16Himanshu Thapliyal, Saurabh Kotiyal, M. B. Srinivas Novel BCD Adders and Their Reversible Logic Implementation for IEEE 754r Format Search on Bibsonomy CoRR The full citation details ... 2006 DBLP  BibTeX  RDF
16Wenjing Rao, Alex Orailoglu, Ramesh Karri Fault Identification in Reconfigurable Carry Lookahead Adders Targeting Nanoelectronic Fabrics. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Bijoy Antony Jose, Damu Radhakrishnan Delay Optimized Redundant Binary Adders. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Massimo Alioto, Gaetano Palumbo Modeling of Delay Variability Due to Supply Variations in Pass-Transistor and Static Full Adders. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Massimo Alioto, Gaetano Palumbo Delay uncertainty due to supply variations in static and dynamic full adders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano Localization of Faults in Radix-n Signed Digit Adders. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yanjie Mao, Chunhong Chen Performance Evaluation and Optimization of Full Adders with Single-Electron Technology. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Debatosh Debnath, Tsutomu Sasao Output Phase Optimization for AND-OR-EXOR PLAs with Decoders and Its Application to Design of Adders. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Pasquale Corsonello, Stefania Perri Efficient Reconfigurable Manchester Adders for Low-power Media Processing. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos, Costas Efstathiou On the Design of Efficient Modular Adders. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Giorgos Dimitrakopoulos, Dimitris G. Nikolos, Haridimos T. Vergos, Dimitris Nikolos, Costas Efstathiou New architectures for modulo 2N - 1 adders. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Andrew G. Dempster, Malcolm D. Macleod Multiplication by two integers using the minimum number of adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Chung-Hsun Huang, Jinn-Shyan Wang, Chingwei Yeh, Chih-Jen Fang The CMOS carry-forward adders. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Amaury Nève, Helmut Schettler, Thomas Ludwig 0004, Denis Flandre Power-delay product minimization in high-performance 64-bit carry-select adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ilya Obridko, Ran Ginosar Low energy asynchronous adders. Search on Bibsonomy ICECS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Elizabeth J. Brauer, Yusuf Leblebici Low noise MCML prefix adders using 0.18 µm CMOS technology. Search on Bibsonomy Circuits, Signals, and Systems The full citation details ... 2004 DBLP  BibTeX  RDF
16Henrik Eriksson, Per Larsson-Edefors Dynamic pass-transistor dot operators for efficient parallel-prefix adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
16Oscar Gustafsson, Andrew G. Dempster, Lars Wanhammar Multiplier blocks using carry-save adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
16Massimo Alioto, Gaetano Palumbo, Massimo Poli A gate-level strategy to design Carry Select Adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
16Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A low power 16-bit Booth Leapfrog array multiplier using Dynamic Adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
16Pasquale Corsonello, Stefania Perri, Vitit Kantabutra Area- and Power-Reduced Standard-Cell Spanning Tree Adders. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
16José Fernández Ramos, Javier López García, Santiago Cárdenas Martín, Alfonso Gago Bohórquez A new theorem in threshold logic and its application to multioperand binary adders. Search on Bibsonomy Int. J. Comput. Math. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Michael Nicolaidis Carry checking/parity prediction adders and ALUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Radu Zlatanovici, Borivoje Nikolic Power-performance optimal 64-bit carry-lookahead adders. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16B. Kiran Kumar, Parag K. Lala On-line Detection of Faults in Carry-Select Adders. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Chien-In Henry Chen, Mahesh Wagh Testability Synthesis for Jumping Carry Adders. Search on Bibsonomy VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Johnnie A. Huang, Chien-In Henry Chen Timing-Driven-Testable Convergent Tree Adders. Search on Bibsonomy VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Hoang Q. Dao, Vojin G. Oklobdzija Performance Comparison of VLSI Adders Using Logical Effort. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Ioannis Kouretas, Vassilis Paliouras High-radix modulo rn - 1 multipliers and adders. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Costas Efstathiou, Haridimos T. Vergos, Dimitris Nikolos Ling adders in CMOS standard cell technologies. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Stefania Perri, Maria Antonia Iachino, Pasquale Corsonello Speed-efficient wide adders for VIRTEX FPGAs. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang Low-voltage asynchronous adders for low power and high speed applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Leyla Nazhandali, Karem A. Sakallah Majority-Based Decomposition of Carry Logic in Binary Adders. Search on Bibsonomy IWLS The full citation details ... 2002 DBLP  BibTeX  RDF
16Junhyung Um, Taewhan Kim An Optimal Allocation of Carry-Save-Adders in Arithmetic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF VLSI, arithmetic circuits, Carry-save-addition
16Youngtae Kim, Taewhan Kim Accurate exploration of timing and area trade-offs in arithmetic optimization using carry-save-adders. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Costas Efstathiou, Haridimos T. Vergos, Dimitris Nikolos On the design of modulo 2n±1 adders. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Dimitrios Soudris, Vasilis F. Pavlidis, Adonios Thanailakis Designing low-power energy recovery adders based on pass transistor logic. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Pablo I. Balzola, Michael J. Schulte, Jie Ruan, C. John Glossner, Erdem Hokenek Design Alternatives for Parallel Saturating Multioperand Adders. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hyeong-Ju Kang, In-Cheol Park Multiplier-less IIR filter synthesis algorithms to trade-off the delay and the number of adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Ioannis M. Thoidis, Dimitrios Soudris, Jean-Marc Fernandez, Adonios Thanailakis The circuit design of multiple-valued logic voltage-mode adders. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos, Dimitris Nikolos, Maciej Bellos, Costas Efstathiou A Formal Test Set for RNS Adders and an Efficient Low Power BIST Scheme. Search on Bibsonomy LATW The full citation details ... 2001 DBLP  BibTeX  RDF
16Zhan Yu, Meng-Lin Yu, Alan N. Willson Jr. Signal Representation Guided Synthesis Using Carry-Save Adders For Synchronous Data-path Circuits. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Gustavo A. Ruiz Addition to "Evaluation of three 32-bit CMOS" adders in DCVS logic for self-timed circuits". Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Christian Pacha, Uwe Auer, Christian Burwick, Peter Glösekötter, Andreas Brennemann, Werner Prost, Franz-Josef Tegude, Karl F. Goser Threshold logic circuit design of parallel adders using resonant tunneling devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Youngtae Kim, Taewhan Kim An Accurate Exploration of Timing and Area Trade-Offs in Arithmetic Optimization Using Carry-Save-Adders. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Taewhan Kim, Junhyung Um A practical approach to the synthesis of arithmetic circuits usingcarry-save-adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Taewhan Kim, Junhyung Um A timing-driven synthesis of arithmetic circuits using carry-save-adders (short paper). Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hyeong-Ju Kang, Hansoo Kim, In-Cheol Park FIR Filter Synthesis Algorithms for Minimizing the Delay and the Number of Adders. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Michael J. Schulte, Pablo I. Balzola, Jie Ruan, C. John Glossner Parallel saturating multioperand adders. Search on Bibsonomy CASES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Zhen Luo, Ruby B. Lee Cost-effective multiplication with enhanced adders for multimedia applications. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Jiun-In Guo An efficient design for one dimensional discrete cosine transform using parallel adders. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Mihalis Psarakis, Nektarios Kranitis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Deterministic Built-In Self -Test for Shifters, Adders and ALUs in Datapaths. Search on Bibsonomy LATW The full citation details ... 2000 DBLP  BibTeX  RDF
16Naofumi Takagi, Haruyuki Tago, Charles R. Baugh, Saburo Muroga Adders. Search on Bibsonomy The VLSI Handbook The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Junhyung Um, Taewhan Kim, C. L. Liu 0001 Optimal allocation of carry-save-adders in arithmetic optimization. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Bong-Il Park, In-Cheol Park, Chong-Min Kyung A Regular Layout Structured Multiplier Based on Weighted Carry-Save Adders. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Booth algorithm, Carry-Save Adder and Wallace Tree, Multiplier
16Gustavo A. Ruiz Evaluation of three 32-bit CMOS adders in DCVS logic for self-timed circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Javier D. Bruguera, Tomás Lang Leading-one prediction scheme for latency improvement in single datapath floating-point adders. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Taewhan Kim, William Jao, Steven W. K. Tjiang Arithmetic Optimization Using Carry-Save-Adders. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
16Zhongde Wang, Graham A. Jullien, William C. Miller, Jinghong Wang, Sami S. Bizzan Fast adders using enhanced multiple-output domino logic. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Bernd Rederlechner, Jörg Keller 0001 A Note on Correctness Proofs for Overflow Detection Logic in Adders for d-th Complement Numbers. Search on Bibsonomy J. Univers. Comput. Sci. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Bernard Laurent, Gilles Bosco, Gabriele Saucier Structural versus algorithmic approaches for efficient adders on Xilinx 5200 FPGA. Search on Bibsonomy FPL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Abdellatif Bellaouar, H. Touzene 3.3-V BiCMOS current-mode logic circuits for high-speed adders. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Alessandro De Gloria, Mauro Olivieri Statistical Carry Lookahead Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Paul F. Stelling, Vojin G. Oklobdzija Design strategies for optimal hybrid final adders in a parallel multiplier. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Michael J. Batek, John P. Hayes Optimal Testing and Design of Adders. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Mark A. Franklin, Tienyo Pan Performance comparison of asynchronous adders. Search on Bibsonomy ASYNC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16A. Kazeminejad, Keivan Navi, Daniel Etiemble CML Current Mode Full Adders for 2.5-V Power Supply. Search on Bibsonomy ISMVL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Keivan Navi, A. Kazeminejad, Daniel Etiemble Performance of CMOS Current Mode Full Adders. Search on Bibsonomy ISMVL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Hans Lindkvist, Per Andersson Techniques for Fast CMOS-based Conditional Sum Adders. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16June Wang, Zhongde Wang, Graham A. Jullien, William C. Miller Area-Time Analysis of Carry Lookahead Adders Using Enhanced Multiple Output Domino Logic. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Yuang-Ming Hsu, Earl E. Swartzlander Jr. Reliability Estimation for Time Redundant Error Correcting Adders and Multipliers. Search on Bibsonomy DFT The full citation details ... 1994 DBLP  BibTeX  RDF
16Zhan Chen, Israel Koren A Yield Study of VLSI Adders. Search on Bibsonomy DFT The full citation details ... 1994 DBLP  BibTeX  RDF
16Janusz Rajski, Jerzy Tyszer Test responses compaction in accumulators with rotate carry adders. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Michael Nicolaidis Efficient Implementations of Self-Checking Adders and ALUs. Search on Bibsonomy FTCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Bernd Becker 0001, Rolf Drechsler, Paul Molitor On the implementation of an efficient performance driven generator for conditional-sum-adders. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Rathish Jayabharathi, Thomas Thomas, Earl E. Swartzlander Jr. A Comparative Evaluation of Adders Based on Performance and Testability. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Zhongde Wang, Graham A. Jullien, William C. Miller, June Wang New Concepts for the Design of Carry Lookahaead Adders. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
16Clark D. Thomborson, Yi Sun Optimizing carry lookahead adders for semicustom CMOS. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Yuang-Ming Hsu, Earl E. Swartzlander Jr. VLSI Concurrent Error Correcting Adders and Multipliers. Search on Bibsonomy DFT The full citation details ... 1993 DBLP  BibTeX  RDF
16Uwe Sparmann On the check base selection problem for fast adders. Search on Bibsonomy VTS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Juan J. Ramirez, Kenneth R. Prestwich, Ian D. Smith High-power, short-pulse generators based on induction voltage adders. Search on Bibsonomy Proc. IEEE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Eberhard Zehendner Efficient implementation of regular parallel adders for binary signed digit number representations. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Bernd Becker 0001, Paul Molitor A performance driven generator for efficient testable conditional-sum-adders. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Lutz J. Micheel Heterojunction Bipolar Technology for Emitter-Coupled Multiple-Valued Logic in Gigahertz Adders and Multipliers. Search on Bibsonomy ISMVL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Gopal Lakhani VLSI Design of Modulo Adders/Subtractors. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Bernd Becker 0001, Uwe Sparmann A uniform test approach for RCC-adders. Search on Bibsonomy Fundam. Informaticae The full citation details ... 1991 DBLP  BibTeX  RDF
16Ferruccio Barsi, Enrico Martinelli A VLSI architecture for RNS with MI adders. Search on Bibsonomy Integr. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Carlos Delgado Kloos, Walter Dosch Transformational Development of Circuit Descriptions for Binary Adders. Search on Bibsonomy Methods of Programming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license