The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Amir Zjajo, José Pineda de Gyvez Calibration and Debugging of Multi-step Analog to Digital Converters. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multi-step ADC, debugging, calibration, design-for-test
17Shubhankar Basu, Balaji Kommineni, Ranga Vemuri Mismatch Aware Analog Performance Macromodeling Using Spline Center and Range Regression on Adaptive Samples. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shanthi Pavan, Nagendra Krishnapura Oversampling Analog-to-Digital Converter Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yalin Evren Sagduyu, Dongning Guo, Randall Berry On the delay and throughput of digital and analog network coding for wireless broadcast. Search on Bibsonomy CISS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Abhishek Somani, P. P. Chakrabarti 0001, Amit Patra An Evolutionary Algorithm-Based Approach to Automated Design of Analog and RF Circuits Using Adaptive Normalized Cost Functions. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rashid Rashidzadeh, Majid Ahmadi, William C. Miller Test and Measurement of Analog and RF Cores in Mixed-Signal SoC Environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Giuseppe Caire, Krishna R. Narayanan On the Distortion SNR Exponent of Hybrid Digital-Analog Space-Time Coding. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Angan Das, Ranga Vemuri An Automated Passive Analog Circuit Synthesis Framework using Genetic Algorithms. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tiago R. Balen, Fernanda Lima Kastensmidt, Marcelo Lubaszewski, Michel Renovell Single Event Upset in SRAM-based Field Programmable Analog Arrays: Effects and Mitigation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Almitra Pradhan, Ranga Vemuri Regression based circuit matrix models for accurate performance estimation of analog circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Daniel Mueller 0001, Helmut E. Graeb, Ulf Schlichtmann Trade-off design of analog circuits using goal attainment and "Wave Front" sequential quadratic programming. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17John Lataire, Gerd Vandersteen, Rik Pintelon Interactive presentation: Optimizing analog filter designs for minimum nonlinear distortions using multisine excitations. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Il Song Han Membership Function Circuit for Neural/Fuzzy Hardware of Analog-Mixed Operation Based on the Programmable Conductance. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17David Walter, Scott Little, Chris J. Myers Bounded Model Checking of Analog and Mixed-Signal Circuits Using an SMT Solver. Search on Bibsonomy ATVA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Cheol-Sun Park, Dae Young Kim 0001 Modulation Classification of Analog and Digital Signals Using Neural Network and Support Vector Machine. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Joachim Becker, Stanis Trendelenburg, Fabian Henrici, Yiannos Manoli Synthesis of analog filters on an evolvable hardware platform using a genetic algorithm. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hardware realization, genetic algorithm, computer aided design, synthesis, evolvable hardware, microelectronics
17Francesco Centurelli, Pietro Monsurrò, Alessandro Trifiletti A distortion model for pipeline Analog-to-Digital converters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Angan Das, Ranga Vemuri GAPSYS: A GA-based Tool for Automated Passive Analog Circuit Synthesis. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Josep Soler Garrido, Robert J. Piechocki Analog Implementation of a Mean Field Detector for Multiple Antenna Systems. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Henry H. Y. Chan, Zeljko Zilic A Performance Driven Layout Compaction Optimization Algorithm for Analog Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Dongwon Seo, Yuhua Guo, Manu Mishra High-Voltage Analog Circuit Design using Thin-Oxide MOS Devices only. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Fule Li, Zhihua Wang 0001, Dongmei Li An Incomplete Settling Technique for Pipelined Analog-to-Digital Converters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Peter R. Kinget Device Mismatch: An Analog Design Perspective. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Terrence S. T. Mak, Kai-Pui Lam, H. S. Ng, Guy Rachmuth, Chi-Sang Poon A Current-Mode Analog Circuit for Reinforcement Learning Problems. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andreas Tritschler A Continuous Time Analog-to-Digital Converter With 90µW and 1.8µV/LSB Based on Differential Ring Oscillator Structures. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Christopher S. Taillefer, Gordon W. Roberts Delta-Sigma Analog-to-Digital Conversion via Time-Mode Signal Processing. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jason N. Laska, Sami Kirolos, Marco F. Duarte, Tamer Ragheb, Richard G. Baraniuk, Yehia Massoud Theory and Implementation of an Analog-to-Information Converter using Random Demodulation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Trent McConaghy, Pieter Palmers, Georges G. E. Gielen, Michiel Steyaert Simultaneous Multi-Topology Multi-Objective Sizing Across Thousands of Analog Circuit Topologies. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jian Wang, Xin Li 0001, Lawrence T. Pileggi Parameterized Macromodeling for Analog System-Level Design Exploration. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mohammad Maymandi-Nejad, Manoj Sachdev DTMOS Technique for Low-Voltage Analog Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Fang Liu 0029, Sule Ozev, Martin A. Brooke Identifying the Source of BW Failures in High-Frequency Linear Analog Circuits Based on S-Parameter Measurements. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hua Tang, Hui Zhang 0057, Alex Doboli Refinement-based synthesis of continuous-time analog filters through successive domain pruning, plateau search, and adaptive sampling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Lihong Zhang, Rabin Raut, Yingtao Jiang, Ulrich Kleine Placement Algorithm in Analog-Layout Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Trent McConaghy, Georges G. E. Gielen Double-strength CAFFEINE: fast template-free symbolic modeling of analog circuits via implicit canonical form functions and explicit introns. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Huiying Yang, Ranga Vemuri Efficient temperature-dependent symbolic sensitivity analysis and symbolic performance evaluation in analog circuit synthesis. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Michael A. Terry, Jonathan Marcus, Matthew Farrell, Varun Aggarwal, Una-May O'Reilly GRACE: Generative Robust Analog Circuit Exploration. Search on Bibsonomy EvoWorkshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Scott Little, Nicholas Seegmiller, David Walter, Chris J. Myers, Tomohiro Yoneda Verification of analog/mixed-signal circuits using labeled hybrid petri nets. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid petri nets, formal methods
17Geoffrey A. Hollinger, David A. Gwaltney Evolutionary design of fault-tolerant analog control for a piezoelectric pipe-crawling robot. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inspection robots, genetic algorithms, robot control, evolvable hardware, piezoelectric actuators
17Ying Wei 0002, Alex Doboli Library of structural analog cell macromodels for design of continuous-time reconfigurable Delta Sigma modulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Karel Zaplatilek, Karel Hajek Time domain analysis of analog filters in MATLAB environment. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jaewook Kim, SeongHwan Cho A time-based analog-to-digital converter using a multi-phase voltage controlled oscillator. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Charles E. Stroud, Dayu Yang, Foster F. Dai Analog frequency response measurement in mixed-signal systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Christian Vogel 0001, Håkan Johansson Time-interleaved analog-to-digital converters: status and future directions. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Matthieu Arzel, Fabrice Seguin, Cyril Lahuec, Michel Jézéquel Semi-iterative analog turbo decoding. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Daniel Mueller 0001, Guido Stehr, Helmut E. Graeb, Ulf Schlichtmann Fast evaluation of analog circuit structures by polytopal approximations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mukesh Ranjan, Ranga Vemuri Exact hierarchical symbolic analysis of large analog networks using a general interconnection template. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17D. S. Karadimas, D. N. Mavridis, K. A. Efstathiou A digitally calibrated R-2R ladder architecture for high performance digital-to-analog converters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17R. Jancke, P. Schwarz Supporting analog synthesis by abstracting circuit behavior using a modeling methodology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Munkyo Seo, Mark J. W. Rodwell, Upamanyu Madhow Blind correction of gain and timing mismatches for a two-channel time-interleaved analog-to-digital converter: experimental verification. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Eric E. Fabris, Luigi Carro, Sergio Bampi Reconfigurable analog interface for mixed signal SOC. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Andrei Vladimirescu, Radu Zlatanovici, Paul G. A. Jespers Analog circuit synthesis using standard EDA tools. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Seogheon Ham, Yonghee Lee, Wunki Jung, Seunghyun Lim, Kwisung Yoo, Youngcheol Chae, Jihyun Cho, Dongmyung Lee, Gunhee Han CMOS image sensor with analog gamma correction using nonlinear single-slope ADC. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Josep Altet, Diego Mateo, José Luis González 0001, Eduardo Aldrete-Vidrio Observation of high-frequency analog/RF electrical circuit characteristics by on-chip thermal measurements. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mohammad B. Vahidfar, Omid Shoaei, M. Fardis A low power, transverse analog FIR filter for feed forward equalization of gigabit Ethernet. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Zhangcai Huang, Yasuaki Inoue, Quan Zhang, Yuehu Zhou, Long Xie, Harutoshi Ogai Behavioral macromodeling of analog LSI implementation for automobile intake system. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen, Rajeshuni Ramesham, Joseph Neff, Srinivas Katkoori Temperature-Adaptive Circuits on Reconfigurable Analog Arrays. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mustafa Keskin A Background Mismatch Calibration For Capacitive Digitial-To-Analog Converters RTERS. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mohamed H. Zaki, Sofiène Tahar, Guy Bois A practical approach for monitoring analog circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Rasit Onur Topaloglu Monte Carlo-Alternative Probabilistic Simulations for Analog Systems. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Alex J. Cannon A Hybrid Neural Network/Analog Model for Climate Downscaling. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Amlan Ghosh, Abhishek Ranjan, Nirmal B. Chakrabarti Design and Implementation of Analog Multitone Signal Generator Using Regenerative Frequency Divider for OFDM Transceiver. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17M. S. Bhat 0001, S. Rekha, H. S. Jamadagni Extrinsic Analog Synthesis Using Piecewise Linear Current-Mode Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17K. Narasimhulu, V. Ramgopal Rao Embedded Tutorial: Analog Circuit Performance Issues with Aggressively Scaled Gate Oxide CMOS Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Zhangcai Huang, Yasuaki Inoue, Hong Yu 0013, Quan Zhang A Wide Dynamic Range Four-Quadrant CMOS Analog Multiplier Using Active Feedback. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Tim Kaulmann, Markus Ferber, Ulf Witkowski, Ulrich Rückert 0001 Analog VLSI Implementation of Adaptive Synapses in Pulsed Neural Networks. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Daniel Hostetler, Yuan Xie 0001 Adaptive Power Management in Software Radios Using Resolution Adaptive Analog to Digital Converters. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Trent McConaghy, Tom Eeckelaert, Georges G. E. Gielen CAFFEINE: Template-Free Symbolic Model Generation of Analog Circuits via Canonical Form Functions and Genetic Programming. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Abhishek Somani, Partha Pratim Chakrabarti, Amit Patra Mixing Global and Local Competition in Genetic Optimization based Design Space Exploration of Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Raoul F. Badaoui, Ranga Vemuri Multi-Placement Structures for Fast and Optimized Placement in Analog Circuit Synthesis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Carlos Eduardo Savioli, Claudio C. Czendrodi, José Vicente Calvano, Antonio Carneiro de Mesquita Filho Fault-Trajectory Approach for Fault Diagnosis on Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jacques-Olivier Klein, Lionel Lacassagne, Hervé Mathias, Sebastien Moutault, Antoine Dupret Low Power Image Processing: Analog Versus Digital Comparison. Search on Bibsonomy CAMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Zhenyu Qi, Sheldon X.-D. Tan, Hao Yu 0001, Lei He 0001 Wideband modeling of RF/Analog circuits via hierarchical multi-point model order reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jai-Ming Lin, Guang-Ming Wu, Yao-Wen Chang, Jen-Hui Chuang Placement with symmetry constraints for analog layout design using TCG-S. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Donald Y. C. Lie What Comes After Most Semiconductor Fabs Are "OutSourced" to Asia? Major Challenges in Educating Future RF/Analog IC Designers in the U.S.. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yadong Wang, Fady Alajaji, Tamás Linder Design of VQ-Based Hybrid Digital-Analog Joint Source-Channel Codes for Image Communication. Search on Bibsonomy DCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mimi Yiu, Chris Winstead, Vincent C. Gaudet, Christian Schlegel Digital built-in self-test of CMOS analog iterative decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Oscar E. Agazzi, Venu Gopinathan Background calibration of interleaved analog to digital converters for high-speed communications using interleaved timing recovery techniques. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Peter R. Kinget, Aurel A. Lazar, László T. Tóth On the robustness of an analog VLSI implementation of a time encoding machine. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Matthieu Arzel, Cyril Lahuec, Fabrice Seguin, David Gnaedig, Michel Jézéquel . Analog slice turbo decoding. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, Hoi-Jun Yoo A 0.9-V 67-µW analog front-end using adaptive-SNR technique for digital hearing aid. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Peng Wang, Shiyuan Yang Soft fault test and diagnosis for analog circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hui Zhang 0057, Preethi Karthik, Hua Tang, Alex Doboli An explorative tile-based technique for automated constraint transformation, placement and routing of high frequency analog filters. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Benny Sallberg, Mattias Dahl, Henrik Åkesson, Ingvar Claesson A mixed analog-digital hybrid for speech enhancement purposes. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qingyan Liu, Chika O. Nwankpa Applications of operational transconductance amplifier in power system analog emulation. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yuh-Shyan Hwang, Lu-Po Liao, Chia-Chun Tsai, Wen-Ta Lee, Trong-Yen Lee, Jiann-Jong Chen A new CCII-based pipelined analog to digital converter. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Vlatko Becanovic, Stefan Kubina, Alan A. Stocker An embedded vision system based on an analog VLSI vision sensor [robot vision applications]. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Erhan Ozalevli, Christopher M. Twigg, Paul E. Hasler 10-bit programmable voltage-output digital-analog converter. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White Adaptive sensor response correction using analog filter compatible with digital technology [load cell sensor applications]. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Takanori Komuro, Naoto Hayasaka, Haruo Kobayashi 0001, Hiroshi Sakayori A practical BIST circuit for analog portion in deep sub-micron CMOS system LSI. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Laura Vesalainen, Jonne Poikonen, Ari Paasio A Gray-coded digital-to-analog converter for a mixed-mode processor array. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Zhihao Xu, Dongming Jin, Zhijian Li Design of an Analog Adaptive Fuzzy Logic Controller. Search on Bibsonomy FSKD (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jihyun Lee, Yong-Bin Kim ASLIC: A Low Power CMOS Analog Circuit Design Automation. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Gustavo Pereira, Antonio Andrade Jr., Tiago R. Balen, Marcelo Lubaszewski, Florence Azaïs, Michel Renovell Testing the Interconnect Networks and I/O Resources of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPAA testing, Mixed-signal test, interconnect testing, oscillation-based test
17Edward Ramsden, Garrison W. Greenwood, David Hunter EARP-1 - An Evolvable Analog Research Platform. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17David A. Gwaltney, Michael I. Ferguson Enabling the On-line Intrinsic Evolution of Analog Controllers. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Abhishek Somani, P. P. Chakrabarti 0001, Amit Patra A Hierarchical Cost Tree Mutation Approach to Optimization of Analog Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Roy Hartono, Nuttorn Jangkrajarng, Sambuddha Bhattacharya, C.-J. Richard Shi Automatic Device Layout Generation for Analog Layout Retargeting. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yang Xu 0017, Kan-Lin Hsiung, Xin Li 0001, Ivan Nausieda, Stephen P. Boyd, Lawrence T. Pileggi OPERA: optimization with ellipsoidal uncertainty for robust analog IC design. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, statistical
17Fernando De Bernardinis, Pierluigi Nuzzo 0001, Alberto L. Sangiovanni-Vincentelli Mixed signal design space exploration through analog platforms. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Sule Ozev, Alex Orailoglu Design of concurrent test Hardware for Linear analog circuits with constrained hardware overhead. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license