Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Rahul Purandare, Matthew B. Dwyer, Sebastian G. Elbaum |
Optimizing monitoring of finite state properties through monitor compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: International Symposium on Software Testing and Analysis, ISSTA '13, Lugano, Switzerland, July 15-20, 2013, pp. 280-290, 2013, ACM, 978-1-4503-2159-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Kun Bian, D. M. H. Walker, Sunil P. Khatri, Shayak Lahiri |
Mixed structural-functional path delay test generation and compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFTS ![In: 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFTS 2013, New York City, NY, USA, October 2-4, 2013, pp. 7-12, 2013, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Qinghua Huang, Lin Li |
Local spectral feature extraction and compaction for HRTFs by nonnegative tensor factorization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ChinaSIP ![In: 2013 IEEE China Summit and International Conference on Signal and Information Processing, ChinaSIP 2013, Beijing, China, July 6-10, 2013, pp. 95-98, 2013, IEEE, 978-1-4799-1043-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Aniruddha S. Vaidya, Anahita Shayesteh, Dong Hyuk Woo, Roy Saharoy, Mani Azimi |
SIMD divergence optimization through intra-warp compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013, pp. 368-379, 2013, ACM, 978-1-4503-2079-5. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
14 | Jiri Barnat, Jan Havlícek, Petr Rockai |
Distributed LTL Model Checking with Hash Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASM/PDMC ![In: Proceedings the Sixth International Workshop on the Practical Application of Stochastic Modelling, PASM 2012, and the Eleventh International Workshop on Parallel and Distributed Methods in Verification, PDMC 2012, London, UK, September 2012, pp. 79-93, 2012, Elsevier. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Samah Mohamed Saeed, Ozgur Sinanoglu |
Multi-modal response compaction adaptive to x-density variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 6(2), pp. 69-77, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Michael J. Bannister, David Eppstein, Joseph A. Simons |
Inapproximability of Orthogonal Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Graph Algorithms Appl. ![In: J. Graph Algorithms Appl. 16(3), pp. 651-673, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz |
On the Switching Activity and Static Test Compaction of Multicycle Scan-Based Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 61(8), pp. 1179-1188, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Joon-Sung Yang, Nur A. Touba |
X-Canceling MISR Architectures for Output Response Compaction With Unknown Values. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(9), pp. 1417-1427, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Thomas Rabenalt, Michael Richter 0002, Frank Poehl, Michael Gössel |
Highly Efficient Test Response Compaction Using a Hierarchical X-Masking Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(6), pp. 950-957, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Badar-ud-din Ahmed, Youren Wang, Rizwan Ullah, Najam-ud-din Ahmed |
A Novel TOPSIS-Based Test Vector Compaction Technique for Analog Fault Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 28(4), pp. 535-540, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Jinsang Hwang, Hongsik Yun, Juhyong Kim, YongCheol Suh, Sungnam Hong, Dongha Lee 0001 |
Development of Soil Compaction Analysis Software (SCAN) Integrating a Low Cost GPS Receiver and Compactometer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 12(3), pp. 2351-2372, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Anna Bendersky, Erez Petrank |
Space overhead bounds for dynamic memory management with partial compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 34(3), pp. 13:1-13:43, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Ozgur Sinanoglu |
Fault Model Independent, Maximal Compaction of Test Responses in the Presence of Unknown Response Bits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. J. ![In: Comput. J. 55(12), pp. 1525-1537, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Chi-Man Pun, Ning-Yu An, C. L. Philip Chen |
Region-based Image Segmentation by Watershed Partition and DCT Energy Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Intell. Syst. ![In: Int. J. Comput. Intell. Syst. 5(1), pp. 53-64, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Ehecatl Joel Chavez-Martinez, Moises Chavez-Martinez, Marco Antonio Gurrola-Navarro |
Modified standard cell methodology for VLSI layout compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCE ![In: 9th International Conference on Electrical Engineering, Computing Science and Automatic Control, CCE 2012, Mexico City, Mexico, September 26-28, 2012, pp. 1-6, 2012, IEEE, 978-1-4673-2170-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Hemant B. Kekre, Rekha Vig, Saurabh Bisani, Tanuja K. Sarode, Pranay Arya, Aashita Irani |
Identification of multi-spectral palmprints using energy compaction by Hybrid wavelet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICB ![In: 5th IAPR International Conference on Biometrics, ICB 2012, New Delhi, India, March 29 - April 1, 2012, pp. 433-438, 2012, IEEE, 978-1-4673-0396-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Syed Asif Imran, Fares Beainy, Sesh Commuri, Musharraf Zaman |
Transient response of a vibratory roller during compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 51th IEEE Conference on Decision and Control, CDC 2012, December 10-13, 2012, Maui, HI, USA, pp. 4378-4383, 2012, IEEE, 978-1-4673-2065-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Dwaipayan Biswas, Evangelos B. Mazomenos, Koushik Maharatna |
ECG compression for remote healthcare systems using selective thresholding based on energy compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSSE ![In: International Symposium on Signals, Systems, and Electronics, ISSSE 2012, Potsdam, Germany, October 3-5, 2012, pp. 1-6, 2012, IEEE, 978-1-4673-4454-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Yu-Jung Chen, Pai-Shun Ting, Meng-Lin Yu, Chia-Ming Chang, Shao-Yi Chien |
TCU: Thread compaction unit for GPGPU applications on mobile graphics hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MMSP ![In: 14th IEEE International Workshop on Multimedia Signal Processing, MMSP 2012, Banff, AB, Canada, September 17-19, 2012, pp. 146-151, 2012, IEEE, 978-1-4673-4570-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Pranab Roy, Rupam Bhattacharjee, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman 0001, Parthasarathi Dasgupta |
An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012, pp. 423-432, 2012, ACM, 978-1-4503-1426-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Wei-Cheng Lien, Kuen-Jong Lee, Tong-Yu Hsieh, Shih-Shiun Chien, Krishnendu Chakrabarty |
Accumulator-based output selection for test response compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012, pp. 2313-2316, 2012, IEEE, 978-1-4673-0218-0. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Rani S. Ghaida, Kanak B. Agarwal, Sani R. Nassif, Xin Yuan, Lars W. Liebmann, Puneet Gupta 0001 |
O(n) layout-coloring for multiple-patterning lithography and conflict-removal using compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICDT ![In: IEEE International Conference on IC Design & Technology, ICICDT 2012, Austin, TX, USA, May 30 - June 1, 2012, pp. 1-4, 2012, IEEE, 978-1-4673-0146-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Curtis Andrus, Matthew R. Guthaus |
Lithography-aware layout compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Great Lakes Symposium on VLSI 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012, pp. 147-152, 2012, ACM, 978-1-4503-1244-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz |
Generation and compaction of mixed broadside and skewed-load n-detection test sets for transition faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012, pp. 37-42, 2012, IEEE Computer Society, 978-1-4673-3043-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Asad Amin Bawa, Muhammad Tauseef Rab, Nur A. Touba |
Using partial masking in X-chains to increase output compaction for an X-canceling MISR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2012, Austin, TX, USA, October 3-5, 2012, pp. 19-24, 2012, IEEE Computer Society, 978-1-4673-3043-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Faten F. Kharbat, Larry Bull, Mohammed Odeh |
A New Compaction Algorithm for LCS Rules - Breast Cancer Dataset Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDIR ![In: KDIR 2012 - Proceedings of the International Conference on Knowledge Discovery and Information Retrieval, Barcelona, Spain, 4 - 7 October, 2012, pp. 382-385, 2012, SciTePress, 978-989-8565-29-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
14 | Irith Pomeranz |
Static test compaction for transition faults under the hazard-based detection conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 30th IEEE VLSI Test Symposium, VTS 2012, Maui, Hawaii, USA, 23-26 April 2012, pp. 176-181, 2012, IEEE Computer Society, 978-1-4673-1074-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Dariusz Czysz, Janusz Rajski, Jerzy Tyszer |
Low power test application with selective compaction in VLSI designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: 2012 IEEE International Test Conference, ITC 2012, Anaheim, CA, USA, November 5-8, 2012, pp. 1-10, 2012, IEEE Computer Society, 978-1-4673-1594-4. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Susanna Ricco, Carlo Tomasi |
Simultaneous Compaction and Factorization of Sparse Image Motion Matrices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCV (6) ![In: Computer Vision - ECCV 2012 - 12th European Conference on Computer Vision, Florence, Italy, October 7-13, 2012, Proceedings, Part VI, pp. 456-469, 2012, Springer, 978-3-642-33782-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Hervé Tatenguem, Alessandro Strano, Vineeth Govind, Jaan Raik, Davide Bertozzi |
Ultra-low latency NoC testing via pseudo-random test pattern compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSoC ![In: 2012 International Symposium on System on Chip, ISSoC 2012, Tampere, Finland, October 10-12, 2012, pp. 1-6, 2012, IEEE, 978-1-4673-2895-1. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Minsoo Rhu, Mattan Erez |
CAPRI: Prediction of compaction-adequacy for handling control-divergence in GPGPU architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 39th International Symposium on Computer Architecture (ISCA 2012), June 9-13, 2012, Portland, OR, USA, pp. 61-71, 2012, IEEE Computer Society, 978-1-4673-0475-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
14 | Ryuji Hayashi, Masanori Hamamura |
Continuous-Phase, Unmodulated Parallel-Combinatory High-Compaction Multicarrier Modulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Commun. ![In: IEICE Trans. Commun. 94-B(3), pp. 802-805, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Alireza Ahangar-Asr, Asaad Faramarzi, N. Mottaghifard, Akbar A. Javadi |
Modeling of permeability and compaction characteristics of soils using evolutionary polynomial regression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Geosci. ![In: Comput. Geosci. 37(11), pp. 1860-1869, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Kuen-Jong Lee, Wei-Cheng Lien, Tong-Yu Hsieh |
Test Response Compaction via Output Bit Selection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(10), pp. 1534-1544, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Michael J. Bannister, David Eppstein |
Hardness of Approximate Compaction for Nonplanar Orthogonal Graph Drawings ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1108.4705, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
14 | Michael T. Goodrich |
Data-Oblivious External-Memory Algorithms for the Compaction, Selection, and Sorting of Outsourced Data ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1103.5102, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
14 | Doina Logofatu, Manfred Gruber, Dumitru Dan Dumitrescu |
Distributed Evolutionary Algorithm Using the MapReduce Paradigm - A Case Study for Data Compaction Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Decision Systems in Large-Scale Distributed Environments ![In: Intelligent Decision Systems in Large-Scale Distributed Environments, pp. 279-291, 2011, Springer, 978-3-642-21270-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Valentina Andreeva |
Test set compaction procedure for combinational circuits based on decomposition tree. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: 9th East-West Design & Test Symposium, EWDTS 2011, Sevastopol, Ukraine, September 9-12, 2011, pp. 251-254, 2011, IEEE Computer Society, 978-1-4577-1957-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Wilson W. L. Fung, Tor M. Aamodt |
Thread block compaction for efficient SIMT control flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), February 12-16 2011, San Antonio, Texas, USA, pp. 25-36, 2011, IEEE Computer Society, 978-1-4244-9432-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Xabier Iturbe, Khaled Benkrid, Tughrul Arslan, Chuan Hong, Imanol Martinez |
Empty Resource Compaction Algorithms for Real-Time Hardware Tasks Placement on Partially Reconfigurable FPGAs Subject to Fault Ocurrence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2011 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2011, Cancun, Mexico, November 30 - December 2, 2011, pp. 27-34, 2011, IEEE Computer Society, 978-1-4577-1734-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Brady Benware, Grzegorz Mrugalski, Artur Pogiel, Janusz Rajski, Jedrzej Solecki, Jerzy Tyszer |
Diagnosis of Failing Scan Cells through Orthogonal Response Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 16th European Test Symposium, ETS 2011, Trondheim, Norway, May 23-27, 2011, pp. 1-6, 2011, IEEE Computer Society, 978-0-7695-4433-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Anna Bendersky, Erez Petrank |
Space overhead bounds for dynamic memory management with partial compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 38th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2011, Austin, TX, USA, January 26-28, 2011, pp. 475-486, 2011, ACM, 978-1-4503-0490-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | J. M. Howard, Sudhakar M. Reddy, Irith Pomeranz, Bernd Becker 0001 |
Fault diagnosis aware ATE assisted test response compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 16th Asia South Pacific Design Automation Conference, ASP-DAC 2011, Yokohama, Japan, January 25-27, 2011, pp. 812-817, 2011, IEEE, 978-1-4244-7516-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Thomas B. Preußer, Martin Zabel, Rainer G. Spallek |
Accelerating Computations on FPGA Carry Chains by Operand Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 20th IEEE Symposium on Computer Arithmetic, ARITH 2011, Tübingen, Germany, 25-27 July 2011, pp. 95-102, 2011, IEEE Computer Society, 978-0-7695-4318-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Ingo Wald |
Active Thread Compaction for GPU Path Tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
High Performance Graphics ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on High Performance Graphics 2011, Vancouver, Canada, August 5-7, 2011, pp. 51-58, 2011, ACM, 978-1-4503-0896-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Michael J. Bannister, David Eppstein |
Hardness of Approximate Compaction for Nonplanar Orthogonal Graph Drawings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing - 19th International Symposium, GD 2011, Eindhoven, The Netherlands, September 21-23, 2011, Revised Selected Papers, pp. 367-378, 2011, Springer, 978-3-642-25877-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Donglin Shu, Shaopeng Wu, Hua Yu |
Analysis of Variability of Degree of Compaction and Air Void Content in Asphalt Pavement of Baosan Expressway. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAIC (2) ![In: Applied Informatics and Communication - International Conference, ICAIC 2011, Xi'an, China, August 20-21, 2011, Proceedings, Part II, pp. 163-170, 2011, Springer, 978-3-642-23219-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Andrey E. Buzyurkin, Evgeny I. Kraus |
Powder Compaction in the Axisymmetric Case. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA Workshops ![In: International Conference on Computational Science and Its Applications, ICCSA 2011, Santander, Spain, June 20-23, 2011, pp. 223-226, 2011, IEEE Computer Society, 978-0-7695-4404-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Rudrajit Datta, Nur A. Touba |
X-Stacking - A Method for Reducing Control Data for Output Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2011, Vancouver, BC, Canada, October 3-5, 2011, pp. 332-338, 2011, IEEE Computer Society, 978-1-4577-1713-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Chun Zhao, W. Pan, C. Z. Zhao, Ka Lok Man, J. Choi, J. Chang |
Performance-effective compaction of standard cell library for edge-triggered latches utilizing 0.5 micron technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISOCC ![In: International SoC Design Conference, ISOCC 2011, Jeju, South Korea, November 17-18, 2011, pp. 313-316, 2011, IEEE, 978-1-4577-0709-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Zhongwei Jiang, Zheng Wang, Jing Wang 0006, D. M. H. Walker |
Levelized low cost delay test compaction considering IR-drop induced power supply noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 29th IEEE VLSI Test Symposium, VTS 2011, May 1-5, 2011, Dana Point, California, USA, pp. 52-57, 2011, IEEE Computer Society, 978-1-61284-657-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Samah Mohamed Saeed, Ozgur Sinanoglu |
Expedited response compaction for scan power reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 29th IEEE VLSI Test Symposium, VTS 2011, May 1-5, 2011, Dana Point, California, USA, pp. 40-45, 2011, IEEE Computer Society, 978-1-61284-657-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz |
Static test compaction for delay fault test sets consisting of broadside and skewed-load tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 29th IEEE VLSI Test Symposium, VTS 2011, May 1-5, 2011, Dana Point, California, USA, pp. 84-89, 2011, IEEE Computer Society, 978-1-61284-657-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Altaf Hossain, Voicu Groza, Sunil R. Das |
Aliasing-Free Space Compaction in VLSI with Cascade of Two-Input OR/NOR Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: Sixth IEEE International Symposium on Electronic Design, Test and Application, DELTA 2011, Queenstown, New Zealand, 17-19 January, 2011, pp. 275-280, 2011, IEEE Computer Society, 978-1-4244-9357-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Narayan Vikas |
Algorithms for Partition of Some Class of Graphs under Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COCOON ![In: Computing and Combinatorics - 17th Annual International Conference, COCOON 2011, Dallas, TX, USA, August 14-16, 2011. Proceedings, pp. 319-330, 2011, Springer, 978-3-642-22684-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Lv-bin Miao |
Degree of Compaction Factor Analysis of Construction of Shallow Road Bed Affect. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDMA ![In: Second International Conference on Digital Manufacturing and Automation, ICDMA 2011, Zhangjiajie, Hunan, China, August 5-7, 2011, pp. 352-354, 2011, IEEE Computer Society, 978-1-4577-0755-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Yong Ge, Zhenyang Ge, Huaifeng Yi, Peng Li, Hao Guo 0005 |
Visual Simulation of Upland Rice Root as Related to Soil Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDMA ![In: Second International Conference on Digital Manufacturing and Automation, ICDMA 2011, Zhangjiajie, Hunan, China, August 5-7, 2011, pp. 1392-1394, 2011, IEEE Computer Society, 978-1-4577-0755-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Michael T. Goodrich |
Data-oblivious external-memory algorithms for the compaction, selection, and sorting of outsourced data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2011: Proceedings of the 23rd Annual ACM Symposium on Parallelism in Algorithms and Architectures, San Jose, CA, USA, June 4-6, 2011 (Co-located with FCRC 2011), pp. 379-388, 2011, ACM, 978-1-4503-0743-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
14 | Gavin K. Reynolds, Rohit Ingale, Ron Roberts, Sanjeev Kothari, Bindhu Gururajan |
Practical application of roller compaction process modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Chem. Eng. ![In: Comput. Chem. Eng. 34(7), pp. 1049-1057, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | John C. Cunningham, Denita Winstead, Antonios Zavaliangos |
Understanding variation in roller compaction through finite element-based process modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Chem. Eng. ![In: Comput. Chem. Eng. 34(7), pp. 1058-1071, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Gerard R. Klinzing, Antonios Zavaliangos, John C. Cunningham, Tracey Mascaro, Denita Winstead |
Temperature and density evolution during compaction of a capsule shaped tablet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Chem. Eng. ![In: Comput. Chem. Eng. 34(7), pp. 1082-1091, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz, Sudhakar M. Reddy |
Switching Activity as a Test Compaction Heuristic for Transition Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 18(9), pp. 1357-1361, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Haralampos-G. D. Stratigopoulos, Petros Drineas, Mustapha Slamani, Yiorgos Makris |
RF Specification Test Compaction Using Learning Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 18(6), pp. 998-1002, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Pi-Chung Wang, Yi-Ting Fang, Tzung-Chian Huang |
Routing Table Compaction for TCAM-Based IP Address Lookup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Commun. ![In: IEICE Trans. Commun. 93-B(5), pp. 1272-1275, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Ahmed I. Saleh |
A Novel Puzzle Based Compaction (PBC) Strategy for Enhancing the Utilization of Reconfigurable Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Appl. Evol. Comput. ![In: Int. J. Appl. Evol. Comput. 1(4), pp. 34-70, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz, Sudhakar M. Reddy |
Static test compaction for diagnostic test sets of full-scan circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 4(5), pp. 365-373, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Ali Al-Shaikhi |
Constellation Compaction Design to Reduce Both PAPR and Average Power in OFDM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Wirel. Commun. Netw. ![In: EURASIP J. Wirel. Commun. Netw. 2010, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Dariusz Czysz, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer |
On Compaction Utilizing Inter and Intra-Correlation of Unknown States. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(1), pp. 117-126, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Yuichiro Fujiwara, Charles J. Colbourn |
A combinatorial approach to X-tolerant compaction circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 56(7), pp. 3196-3206, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Amit Agarwal 0002, Padam Kumar |
An effective compaction strategy for bi-criteria DAG scheduling in grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Commun. Networks Distributed Syst. ![In: Int. J. Commun. Networks Distributed Syst. 5(3), pp. 331-346, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Paruvelli Sreedevi, Wen-Liang Hwang, Shawmin Lei |
An Examplar-Based Approach for Texture Compaction Synthesis and Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 19(5), pp. 1307-1318, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Doina Logofatu, Dumitru Dumitrescu |
Parallel Evolutionary Approach of Compaction Problem Using MapReduce. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPSN (2) ![In: Parallel Problem Solving from Nature - PPSN XI, 11th International Conference, Kraków, Poland, September 11-15, 2010. Proceedings, Part II, pp. 361-370, 2010, Springer, 978-3-642-15870-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Brady Benware, Grzegorz Mrugalski, Artur Pogiel, Janusz Rajski, Jedrzej Solecki, Jerzy Tyszer |
Diagnosis of failing scan cells through orthogonal response compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 15th European Test Symposium, ETS 2010, Prague, Czech Republic, May 24-28, 2010, pp. 221-226, 2010, IEEE Computer Society, 978-1-4244-5833-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Thomas Rabenalt, Michael Richter 0002, Michael Gössel |
High Performance Compaction for Test Responses with Many Unknowns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the 19th IEEE Asian Test Symposium, ATS 2010, 1-4 December 2010, Shanghai, China, pp. 179-184, 2010, IEEE Computer Society, 978-0-7695-4248-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | M. H. Haghbayan, Sara Karamati, Fatemeh Javaheri, Zainalabedin Navabi |
Test Pattern Selection and Compaction for Sequential Circuits in an HDL Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the 19th IEEE Asian Test Symposium, ATS 2010, 1-4 December 2010, Shanghai, China, pp. 53-56, 2010, IEEE Computer Society, 978-0-7695-4248-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Fares Beainy, Sesh Commuri, Musharraf Zaman |
Asphalt compaction quality control using Artificial Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 49th IEEE Conference on Decision and Control, CDC 2010, December 15-17, 2010, Atlanta, Georgia, USA, pp. 4643-4648, 2010, IEEE, 978-1-4244-7745-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Thomas Marconi, Yi Lu 0004, Koen Bertels, Georgi Gaydadjiev |
3D Compaction: A Novel Blocking-Aware Algorithm for Online Hardware Task Scheduling and Placement on 2D Partially Reconfigurable Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, 6th International Symposium, ARC 2010, Bangkok, Thailand, March 17-19, 2010. Proceedings, pp. 194-206, 2010, Springer, 978-3-642-12132-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Thomas Indlekofer, Michael Schnittger, Sybille Hellebrand |
Efficient test response compaction for robust BIST using parity sequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 28th International Conference on Computer Design, ICCD 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings, pp. 480-485, 2010, IEEE Computer Society, 978-1-4244-8936-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Jin-li Wang, Hai-qing Liu |
Application of Neural Network in Prediction for Self-compaction Concrete. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACFIE ![In: Fuzzy Information and Engineering 2010 - Volume I, Proceedings of the 5th Annual Conference on Fuzzy Information and Engineering, ACFIE 2010, Sepember 23-27, 2010, Huludao, China, pp. 733-738, 2010, 978-3-642-14879-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Marzena Klos, Zenon Waszczyszyn |
Prediction of Compaction Characteristics of Granular Soils by Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICANN (1) ![In: Artificial Neural Networks - ICANN 2010 - 20th International Conference, Thessaloniki, Greece, September 15-18, 2010, Proceedings, Part I, pp. 42-45, 2010, Springer, 978-3-642-15818-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Mauricio Minuto Espil, Juan M. Ale |
An Induction-based Compaction of Sets of Association Rules among Web Concepts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RCIS ![In: Proceedings of the Fourth IEEE International Conference on Research Challenges in Information Science, RCIS 2010, Nice, France, May 19-21, 2010, pp. 311-318, 2010, IEEE, 978-1-4244-4840-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Chun-Cheng Zuo, Yong-Wu Zhao, Yong-Xia Zuo, Feng Ji, Hao Zheng |
Computer Simulation on the Compaction of Chromatin Fiber Induced by Salt. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LSMS/ICSEE ![In: Life System Modeling and Intelligent Computing - International Conference on Life System Modeling and Simulation, LSMS 2010, and International Conference on Intelligent Computing for Sustainable Energy and Environment, ICSEE 2010, Wuxi, China, September 17-20, 2010. Proceedings, Part III, pp. 413-420, 2010, Springer, 978-3-642-15614-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
14 | Friedemann Reinhard, Oliver F. Lange, Jochen S. Hub, Jürgen Haas, Helmut Grubmüller |
g_permute: Permutation-reduced phase space density compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Phys. Commun. ![In: Comput. Phys. Commun. 180(3), pp. 455-458, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki |
X-Handling for Current X-Tolerant Compactors with More Unknowns and Maximal Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 92-A(12), pp. 3119-3127, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | F.-M. Wang, W.-C. Wang, James Chien-Mo Li |
Time-space test response compaction and diagnosis based on BCH codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 3(3), pp. 304-313, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Irith Pomeranz, Sudhakar M. Reddy |
Test compaction methods for transition faults under transparent-scan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 3(4), pp. 315-328, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Doruk Bozdag, Füsun Özgüner, Ümit V. Çatalyürek |
Compaction of Schedules and a Two-Stage Approach for Duplication-Based DAG Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 20(6), pp. 857-871, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Michal Wegiel, Chandra Krintz |
The single-referent collector: Optimizing compaction for the common case. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 6(4), pp. 15:1-15:26, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Nathan Kupp, Petros Drineas, Mustapha Slamani, Yiorgos Makris |
On Boosting the Accuracy of Non-RF to RF Correlation-Based Specification Test Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 25(6), pp. 309-321, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Martin Hilscher, Michael Braun, Michael Richter 0002, Andreas Leininger, Michael Gössel |
X-tolerant Test Data Compaction with Accelerated Shift Registers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 25(4-5), pp. 247-258, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Zheng Wang, K. T. Chau 0001 |
Design, Analysis, and Experimentation of Chaotic Permanent Magnet DC Motor Drives for Electric Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 56-II(3), pp. 245-249, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Ruirui Guo, José G. Delgado-Frias |
IP Routing table compaction and sampling schemes to enhance TCAM cache performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 55(1), pp. 61-69, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Yukihiro Bandoh, Hiroki Ohbayashi, Seishi Takamura, Kazuto Kamikura, Yoshiyuki Yashima |
Mathematical analysis of the energy compaction affected by the dimensionality of Karhunen-Lòeve transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2009, 7-10 November 2009, Cairo, Egypt, pp. 21-24, 2009, IEEE, 978-1-4244-5654-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Stefan Holst, Hans-Joachim Wunderlich |
A diagnosis algorithm for extreme space compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009, pp. 1355-1360, 2009, IEEE, 978-1-4244-3781-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Andrea Ricci, Ilaria De Munari, Paolo Ciampolini |
Performance-Effective Compaction of Standard-Cell Libraries for Digital Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2009, 27-29 August 2009, Patras, Greece, pp. 315-322, 2009, IEEE Computer Society, 978-0-7695-3782-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Alejandro Czutro, Ilia Polian, Piet Engelke, Sudhakar M. Reddy, Bernd Becker 0001 |
Dynamic Compaction in SAT-Based ATPG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: Proceedings of the Eighteentgh Asian Test Symposium, ATS 2009, 23-26 November 2009, Taichung, Taiwan, pp. 187-190, 2009, IEEE Computer Society, 978-0-7695-3864-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Fuming Wang, Jianwu Wang, Yunsheng Wang, Jia Li |
A Study on Controlling the Quality of Filled Soil-Stone Compaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNC (1) ![In: Fifth International Conference on Natural Computation, ICNC 2009, Tianjian, China, 14-16 August 2009, 6 Volumes, pp. 400-403, 2009, IEEE Computer Society, 978-0-7695-3736-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Jared Hoberock, Victor Lu, Yuntao Jia, John C. Hart |
Stream compaction for deferred shading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
High Performance Graphics ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on High Performance Graphics 2009, New Orleans, Louisiana, USA, August 1-3, 2009, pp. 173-180, 2009, Eurographics Association, 978-1-60558-603-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Yohannes Tsegay, Simon J. Puglisi, Andrew Turpin, Justin Zobel |
Document Compaction for Efficient Query Biased Snippet Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECIR ![In: Advances in Information Retrieval, 31th European Conference on IR Research, ECIR 2009, Toulouse, France, April 6-9, 2009. Proceedings, pp. 509-520, 2009, Springer, 978-3-642-00957-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|