The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnections with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1975 (15) 1976-1981 (16) 1982-1986 (15) 1987-1988 (24) 1989 (15) 1990 (18) 1991-1992 (27) 1993 (25) 1994 (19) 1995 (40) 1996 (35) 1997 (32) 1998 (22) 1999 (44) 2000 (58) 2001 (39) 2002 (42) 2003 (40) 2004 (56) 2005 (74) 2006 (71) 2007 (81) 2008 (83) 2009 (54) 2010 (28) 2011 (23) 2012 (21) 2013 (27) 2014 (20) 2015 (19) 2016 (25) 2017 (20) 2018 (36) 2019 (22) 2020 (16) 2021 (27) 2022 (30) 2023 (61) 2024 (4)
Publication types (Num. hits)
article(449) book(2) incollection(4) inproceedings(857) phdthesis(11) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1038 occurrences of 719 keywords

Results
Found 1324 publication records. Showing 1324 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Attilio J. Rainal Eliminating inductive noise of external chip interconnections. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Fabio Fagnani, Jan C. Willems Interconnections and symmetries of linear differential systems. Search on Bibsonomy Math. Control. Signals Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Pochang Hsu, Jerzy W. Rozenblit A computer-aided design framework for modeling and simulation of VLSI interconnections and packaging. Search on Bibsonomy Integr. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Sandeep Jain, Farshad Khorrami, B. Fardanesh Adaptive nonlinear excitation control of power systems with unknown interconnections. Search on Bibsonomy IEEE Trans. Control. Syst. Technol. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Jürgen Jahns Planar packaging of free-space optical interconnections. Search on Bibsonomy Proc. IEEE The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Ashok K. Goel 0001 High-speed VLSI interconnections - modeling, analysis, and simulation. Search on Bibsonomy 1994   RDF
16Matthias Tröscher, Hans Hartmann, Georg Klein, Andreas Plettner TRICAP - a three dimensional capacitance solver for arbitrarily shaped conductors on printed circuit boards and VLSI interconnections. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Christian Roche, Serge Fdida A Dynamic Resource Management Mechanism for LAN Interconnections across High-Speed Networks. Search on Bibsonomy INFOCOM The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16W. Y. Lo, Chiu-sing Choy, Cheong-Fat Chan Hardware emulation board based on FPGAs and programmable interconnections. Search on Bibsonomy RSP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Mohamed Nekili, Yvon Savaria, Guy Bois A Fast Low-Power Driver for Long Interconnections in VLSI Systems. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Kanad Ghose OPTIMUL: A Hybrid Multiprocessor for Distributed and Shared Memory Multiprocessing with WDM Optical Fiber Interconnections. Search on Bibsonomy PARLE The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Kanad Ghose, R. Kym Horsell, Nitin K. Singhvi Hybrid Multiprocessing in OPTIMUL: A Multiprocessor for Distributed and Shared Memory Multiprocessing with WDM Optical Fiber Interconnections. Search on Bibsonomy ICPP (1) The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Sudhakar Muddu Delay Analysis of VLSI Interconnections Using the Diffusion Equation Model. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Monjurul Haque, Ali El-Zein, Salim Chowdhury A New Time-Domain Macromodel for Transient Simulation of Uniform/Nonuniform Multiconductor Transmission-Line Interconnections. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Bill Warner Book Review: Interconnections: Bridges and Routers by Radia Perlman (Addison-Wesley Publishing Company, Inc.). Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Stuart K. Tewksbury, Lawrence A. Hornak, H. E. Nariman, S. M. Langsjoen, N. J. Hall, J. J. Hall, S. P. Mcginnis Toward Cointegration of Optical Interconnections within Silicon Microelectronic Systems. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Yitzhak Birk, Nathan Linial, Roy Meshulam On the uniform-traffic capacity of single-hop interconnections employing shared directional multichannels. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Bertrand Cabon, T. V. Dinh, J. Chilo Superconductive interconnections in multi-chip modules. Search on Bibsonomy VLSI The full citation details ... 1993 DBLP  BibTeX  RDF
16Yitzhak Birk Power-Optimal Layout of Passive, Single-Hop, Fiber-Optic Interconnections Whose Capacity Increases with the Number of Stations. Search on Bibsonomy INFOCOM The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Derong Liu 0001, Anthony N. Michel Analysis and synthesis of a class of neural networks with sparse interconnections. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
16Mohamed Nekili, Yvon Savaria Parallel Regeneration of Interconnections in VLSI & ULSI Circuits. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
16Kumar Venkat Generalized Delay Optimization of Resistive Interconnections through an Extension of Logical Effort. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
16José E. Schutt-Ainé, Kyung-soo Oh Modeling Interconnections with Nonlinear Discontinuities. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
16Johannes Schwider, Norbert Streibl, Konrad Zürl Optoelectronic Interconnections. Search on Bibsonomy Parallel Computer Architectures The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Monjurul Haque, Salim Chowdhury Analysis and Reliable Design of ECL Circuits with Distributed RLC Interconnections. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Mark W. Goudreau, C. Lee Giles Routing in Random Multistage Interconnections Networks: Comparing Exhaustive Search, Greedy and Neural Network Approaches. Search on Bibsonomy Int. J. Neural Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Pau-Choo Chung, Thomas F. Krile Characteristics of Hebbian-type associative memories having faulty interconnections. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Yitzhak Birk Fiber-optic Bus-oriented Single-hop Interconnections among Multi-Transceiver Stations. Search on Bibsonomy INFOCOM The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Bhumip Khasnabish Neural brouter for network interconnections: MS-net interconnects DQDB-nets. Search on Bibsonomy LCN The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Peter C. Fetterolf, G. Anandalingam Optimizing Interconnections of Local Area Networks: An Approach Using Simulated Annealing. Search on Bibsonomy INFORMS J. Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Chang-Sung Jeong, Myung Ho Kim Fast parallel simulated annealing for traveling salesman problem on SIMD machines with linear interconnections. Search on Bibsonomy Parallel Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Naoaki Yamanaka, Masaharu Sasaki, Shiro Kikuchi, Thoru Takada, Masao Idda A Gigabit-Rate Five-Highway GaAs OE-LSI Chipset for High-Speed Optical Interconnections Between Modules or VLSI's. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Mitsumasa Koyanagi A New Chip Architecture for VLSIs - Optical Coupled 3D Common Memory and Optical Interconnections. Search on Bibsonomy VLSI The full citation details ... 1991 DBLP  BibTeX  RDF
16Amit P. Agrawal, Chi Shih Chang, Debra A. Gernhart Design Considerations for Digital Circuit Interconnections in a Multilayer Printed Circuit Board. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16F. Sebastiã G. dos Santos, Jacobus W. Swart Modeling fo Interconnections Lines for Stimulation of VLSI Circuits. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Qing Yang 0001, Laxmi N. Bhuyan Performance of Multiple-Bus Interconnections for Multiprocessors. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Arnold Pfahnl IC packaging and interconnections-status and trends. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Dipankar Pramanik, Gianpaolo Spadini Interconnections in application specific VLSI. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Giorgio De Santi Interconnections technologies for VLSI circuits. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Takao Matsumoto, Toshikazu Sakano, Kazuhiro Noguchi, Tomoko Sawabe Computer systems employing reconfigurable board-to-board free-space optical interconnections: COSINE-1 and -2. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16M. Vidyasagar An analysis of the flows of neural networks with linear interconnections. Search on Bibsonomy IJCNN The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Pau-Choo Chung, Thomas F. Krile Reliability measures for Hebbian-type associative memories with faulty interconnections. Search on Bibsonomy IJCNN The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Shlomo Kipnis Organization of systems with bussed interconnections. Search on Bibsonomy 1990   RDF
16Jan R. Just, Ryszard S. Romaniuk Highly parallel distributed computing systems with optical interconnections. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16H. John Caulfield Variable and fixed rank 1 N4 interconnections [optical neural nets]. Search on Bibsonomy SMC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Martina Zitterbart A Multiprocessor Architecture for High Speed Network Interconnections. Search on Bibsonomy INFOCOM The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Jerzy W. Rozenblit, John L. Prince, Olgierd A. Palusinski, T. D. Whipple Computer aided design system for VLSI interconnections. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Steven Paul McCormick Modeling and simulation of VLSI interconnections with moments. Search on Bibsonomy 1989   RDF
16Demetri Psaltis, Xiang-guang Gu Fractal sampling grids for holographic optical interconnections. Search on Bibsonomy Neural Networks The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Olgierd A. Palusinski, Andreas C. Cangellaris, John L. Prince, J. C. Liao, L. Vakanis Modeling and simulation of coupled lossy lines for VLSI interconnections. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16José E. Schutt-Ainé Modeling and Simulation of High-Speed Digital Circuit Interconnections Search on Bibsonomy 1988   RDF
16Jack F. McDonald, Hans J. Greub, Randy H. Steinvorth, Brian J. Donlan, Albert S. Bergendahl Wafer Scale Interconnections for GaAs Packing - Applications to RISC Architecture. Search on Bibsonomy Computer The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Edward K. Blum, Hartmut Ehrig, Francesco Parisi-Presicce Algebraic Specification of Modules and Their Basic Interconnections. Search on Bibsonomy J. Comput. Syst. Sci. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Kian-bon K. Sy, Michael O. Shiobara, Masato Yamaguchi, Yoshikazu Kobayashi, Shohji Shukuya, Takahiro Tomatsu OSI-SNA Interconnections. Search on Bibsonomy IBM Syst. J. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Joe Kilian, Shlomo Kipnis, Charles E. Leiserson The Organization of Permutation Architectures with Bussed Interconnections (Extended Abstract) Search on Bibsonomy FOCS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Duane A. Bailey, Janice E. Cuny An Approach to Programming Process Interconnections Structures: Aggregate Rewriting Graph Grammars. Search on Bibsonomy PARLE (2) The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Paul E. Schupp Arrays, Automata and Groups: Some Interconnections. Search on Bibsonomy Automata Networks The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Robert P. Blanc NBS Program in Open Systems Interconnections (OSI). Search on Bibsonomy Networking in Open Systems The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Joseph W. Goodman Optical Interconnections: A Role for Optics in Computing of the Future. Search on Bibsonomy COMPCON The full citation details ... 1985 DBLP  BibTeX  RDF
16Berthold Butscher, Luciano Lenzini, R. Morling, Chris A. Vissers, Radu Popescu-Zeletin, Marten van Sinderen Networks Interconnections - An Architectural Reference Model. Search on Bibsonomy Kommunikation in Verteilten Systemen (1) The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Balakrishna R. Iyer, J. Bartlett Sinclair Dynamic Memory Interconnections for Rapid Access. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF Access algorithm, interconnection networks, access times, dynamic memories
16Vijay P. Kumar, Sudhakar M. Reddy A Class of Graphs for Fault-Tolerant Processor Interconnections. Search on Bibsonomy ICDCS The full citation details ... 1984 DBLP  BibTeX  RDF
16Janak H. Patel Performance of Processor-Memory Interconnections for Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
16Arpad Gallo, Richard P. Wilder Performance Measurement of Data Communication Systems with Emphasis on Open System Interconnections (OSI). Search on Bibsonomy ISCA The full citation details ... 1981 DBLP  BibTeX  RDF
16G. V. Baliga, M. V. C. Rao On symmetric and unity interconnections between three nonlinear subsystems. Search on Bibsonomy Autom. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Ayakannu Mathialagan, Nripendra N. Biswas Optimal Interconnections in the Design of Microprocessors and Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Arpad Gallo, Richard P. Wilder Monitoring Data Communications Systems with Emphasis on Open System Interconnections (OSI). Search on Bibsonomy Int. CMG Conference The full citation details ... 1980 DBLP  BibTeX  RDF
16Robert H. Kuhn Efficient Mapping of Algorthims To Single-Stage Interconnections. Search on Bibsonomy ISCA The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Arthur H. Altman, Alice C. Parker The SLIDE simulator: A facility for the design and analysis of computer interconnections. Search on Bibsonomy DAC The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Janak H. Patel Processor-Memory Interconnections for Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
16Daniel Etiemble TTL circuits for a 4-valued bus a way to reduce package and interconnections. Search on Bibsonomy MVL The full citation details ... 1978 DBLP  BibTeX  RDF
16Tomás Lang Interconnections Between Processors and Memory Modules Using the Shuffle-Exchange Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF parallel processing, Array processors, permutation networks, shuffle-exchange network
16A. T. Magill The Response and Behaviour of Interconnections in Digital Systems. Search on Bibsonomy 1975   RDF
16R. C. Swanson Interconnections for Parallel Memories to Unscramble p-Ordered Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
16Dawoud Shenouda Dawoud, Nadia Z. El-Araby Parallel Digital Differential Analyzer with Arbitrary Stored Interconnections. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
16Albert E. Ruehli Electrical considerations in the computer aided design of logic circuit interconnections. Search on Bibsonomy DAC The full citation details ... 1973 DBLP  BibTeX  RDF
16Howard L. Parks Batch-Fabricated Three-Dimensional Planar Coaxial Interconnections for Microelectronic Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
16Suhas S. Patil Closure properties of interconnections of determinate systems. Search on Bibsonomy Project MAC Conference on Concurrent Systems and Parallel Computation The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
16Paul E. Wood Jr. Digital Differential Analyzers with Arbitrary Stored Interconnections. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1965 DBLP  DOI  BibTeX  RDF
16Neil E. Wiseman Application of List-Processing Methods to the Design of Interconnections for A Fast Logic System. Search on Bibsonomy Comput. J. The full citation details ... 1964 DBLP  DOI  BibTeX  RDF
16Denis B. Jarvis The Effects of Interconnections on High-Speed Logic Circuits. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1963 DBLP  DOI  BibTeX  RDF
16E. L. Lawer Electrical Assemblies with a Minimum Number of Interconnections. Search on Bibsonomy IRE Trans. Electron. Comput. The full citation details ... 1962 DBLP  DOI  BibTeX  RDF
16Kenneth R. Shoulders On microelectronic components, interconnections, and system fabrication. Search on Bibsonomy IRE-AIEE-ACM Computer Conference (Western) The full citation details ... 1960 DBLP  DOI  BibTeX  RDF
9Rahul Bhattacharya, Santosh Biswas, Siddhartha Mukhopadhyay FPGA based chip emulation system for test development and verification of analog and mixed signal circuits (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ams testing, concurrent test development, behavioral modeling
9Vivek K. Singh 0001, Ramesh C. Jain Structural analysis of the emerging event-web. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF event-web, pareto law, zipfs law, power law, microblogs
9Renato A. C. Capuruço, Luiz Fernando Capretz Integrating recommender information in social ecosystems decisions. Search on Bibsonomy ECSA Companion Volume The full citation details ... 2010 DBLP  DOI  BibTeX  RDF social ecosystems, social networks, recommender systems
9Vidhya Balasubramanian, Dmitri V. Kalashnikov, Sharad Mehrotra, Nalini Venkatasubramanian Efficient and scalable multi-geography route planning. Search on Bibsonomy EDBT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
9Zhiming Chen, Tung-Sang Ng, Ai Qun Hu, Yue-Ping Zhang Bit-error-rate analysis of UWB radio using BPSK modulation over inter-chip radio channels for wireless chip area networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Sitian Qin, Xiaoping Xue 0001 Global Exponential Stability and Global Convergence in Finite Time of Neural Networks with Discontinuous Activations. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Delayed neural networks, Convergence in finite time, Topological degree theory, Lyapunov function, Global exponential stability
9Trond Haga Orchestration of network instruments: a way to de-emphasize the partition between incremental change and innovation? Search on Bibsonomy AI Soc. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Gül Gürkan, Jong-Shi Pang Approximations of Nash equilibria. Search on Bibsonomy Math. Program. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 90C15, 91A06, 91A15, 90C31, 90C33, 91A10
9Anil Aswani, Peter J. Bickel, Claire J. Tomlin Statistics for sparse, high-dimensional, and nonparametric system identification. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Jongmin Lee, Eujoon Byun, Hanmook Park, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh CPS-SIM: configurable and accurate clock precision solid state drive simulator. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SSD (solid state drive), clock precision SSD simulator, configurability, NAND flash memory, FTL (flash translation layer)
9Xingyun Qi, Quanyou Feng, Yongran Chen, Qiang Dou, Wenhua Dou A Fault Tolerant Bufferless Optical Interconnection Network. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Weldon A. Lodwick The Relationship between Interval, Fuzzy and Possibilistic Optimization. Search on Bibsonomy MDAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF possibilistic optimization, constraint fuzzy interval arithmetic, interval analysis, Fuzzy optimization
9Zhijun Yin, Rui Li 0049, Qiaozhu Mei, Jiawei Han 0001 Exploring social tagging graph for web object classification. Search on Bibsonomy KDD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, social tagging, web classification
9Mohamad Sawan, Benoit Gosselin Multichannel intracortical neurorecording: integration and packaging challenges. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bioelectronics, implantable devices, biosensors, wireless links
9Costas Argyrides, Giorgos Dimosthenous, Dhiraj K. Pradhan, Carlos Arthur Lang Lisbôa, Luigi Carro Reliability aware yield improvement technique for nanotechnology based circuits. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, nanotechnology, yield improvement
9Luigi Cinque, Sergio De Agostino, Luca Lombardi Practical Parallel Algorithms for Dictionary Data Compression. Search on Bibsonomy DCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Robert E. Kent System Consequence. Search on Bibsonomy ICCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logical system, system consequence, information system, information flow, channel
Displaying result #701 - #800 of 1324 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license