The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase many-core (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1998-2006 (16) 2007 (30) 2008 (71) 2009 (107) 2010 (119) 2011 (159) 2012 (162) 2013 (204) 2014 (232) 2015 (252) 2016 (237) 2017 (199) 2018 (188) 2019 (161) 2020 (86) 2021 (152) 2022 (122) 2023 (158) 2024 (16)
Publication types (Num. hits)
article(687) book(2) incollection(10) inproceedings(1833) phdthesis(115) proceedings(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 433 occurrences of 270 keywords

Results
Found 2671 publication records. Showing 2671 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Cheng Ma, Qi Zhao, Guoqi Li, Lei Deng 0003, Guanrui Wang A deadlock-free physical mapping method on the many-core neural network chip. Search on Bibsonomy Neurocomputing The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Lijuan Jiang, Chao Yang 0002, Wenjing Ma Enabling Highly Efficient Batched Matrix Multiplications on SW26010 Many-core Processor. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Vanchinathan Venkataramani, Aditi Kulkarni Mohite, Tulika Mitra, Li-Shiuan Peh SPECTRUM: A Software-defined Predictable Many-core Architecture for LTE/5G Baseband Processing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Stefano Quer, Andrea Calabrese Graph Reachability on Parallel Many-Core Architectures. Search on Bibsonomy Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Salvatore Cielo, Luigi Iapichino, Fabio Baruffa, Matteo Bugli, Christoph Federrath Honing and proofing Astrophysical codes on the road to Exascale. Experiences from code modernization on many-core systems. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Andreas Bytyn, René Ahlsdorf, Rainer Leupers, Gerd Ascheid Dataflow Aware Mapping of Convolutional Neural Networks Onto Many-Core Platforms With Network-on-Chip Interconnect. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Jonas Latt, Christophe Coreixas, Joël Bény Cross-platform programming model for many-core lattice Boltzmann simulations. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Khanh N. Dang, Michael Conrad Meyer, Yuichi Okuyama 0001, Abderazek Ben Abdallah A low-overhead soft-hard fault-tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Anish Krishnakumar, Samet E. Arda, A. Alper Goksoy, Sumit K. Mandal, Ümit Y. Ogras, Anderson L. Sartor, Radu Marculescu Runtime Task Scheduling using Imitation Learning for Heterogeneous Many-Core Systems. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Peng Zhang 0061, Jianbin Fang, Canqun Yang, Chun Huang, Tao Tang 0001, Zheng Wang 0001 Optimizing Streaming Parallelism on Heterogeneous Many-Core Architectures: A Machine Learning Based Approach. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Matheus A. Cavalcante, Samuel Riedel, Antonio Pullini, Luca Benini MemPool: A Shared-L1 Memory Many-Core Cluster with a Low-Latency Interconnect. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Nick Brown 0002 ePython: An implementation of Python for the many-core Epiphany coprocessor. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
15Kohei Fujita, Masashi Horikoshi, Tsuyoshi Ichimura, Larry Meadows, Kengo Nakajima, Muneo Hori, Lalith Maddegedara Development of element-by-element kernel algorithms in unstructured finite-element solvers for many-core wide-SIMD CPUs: Application to earthquake simulation. Search on Bibsonomy J. Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jovan Blanusa, Radu Stoica, Paolo Ienne, Kubilay Atasu Many-Core Clique Enumeration with Fast Set Intersections. Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2020 DBLP  BibTeX  RDF
15Libo Zhang 0003, Xingquan Mao, Hongtao You, Long Gu, Xiaocheng Jiang An automatic mapping technique for OpenACC kernel code based on deeply fused and heterogeneous many-core architecture. Search on Bibsonomy CCF Trans. High Perform. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Hyun-Woo Kim 0001, Gangman Yi, Jong Hyuk Park, Young-Sik Jeong Adaptive resource management using many-core processing for fault tolerance based on cyber-physical cloud systems. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Salvatore Cielo, Luigi Iapichino, Fabio Baruffa, Matteo Bugli, Christoph Federrath Honing and proofing Astrophysical codes on the road to Exascale. Experiences from code modernization on many-core systems. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Fang Li, Zhihui Li, Jinxiu Xu 0002, Hao Fan, Xuesen Chu, Xinliang Li 基于十亿亿次国产超算系统的流体力学软件众核适应性研究 (Research on Adaptation of CFD Software Based on Many-core Architecture of 100P Domestic Supercomputing System). Search on Bibsonomy 计算机科学 The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yiming Zhao, Xiaohang Wang 0001, Yingtao Jiang, Liang Wang 0020, Mei Yang, Amit Kumar Singh 0002, Terrence S. T. Mak On hardware-trojan-assisted power budgeting system attack targeting many core systems. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Maurizio Palesi, Kun-Chih Jimmy Chen, Midia Reshadi Special issue on energy-efficient many-core embedded systems and architectures (SI: NoCArc18). Search on Bibsonomy J. Syst. Archit. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Nouredine Melab, Jan Gmys, Mohand Mezmaz, Daniel Tuyttens Many-Core Branch-and-Bound for GPU Accelerators and MIC Coprocessors. Search on Bibsonomy High-Performance Simulation-Based Optimization The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Xiaosong Yu, Huihui Ma, Zhengyu Qu, Jianbin Fang, Weifeng Liu 0002 NUMA-Aware Optimization of Sparse Matrix-Vector Multiplication on ARMv8-Based Many-Core Architectures. Search on Bibsonomy NPC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ronny Kramer, Gudula Rünger Performance and efficiency investigations of SIMD programs of Coulomb solvers on multi-and many-core systems with vector units. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Shingo Igarashi, Yuto Kitagawa, Takuro Fukunaga, Takuya Azumi Accurate Contention Estimate Scheduling Method Using Multiple Clusters of Many-core Platform. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Kentaro Honda, Sasuga Kojima, Hiroshi Fujimoto, Masato Edahiro, Takuya Azumi Mapping Method of MATLAB/Simulink Model for Embedded Many-Core Platform. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Christoph W. Kessler, Sebastian Litzinger, Jörg Keller 0001 Robustness and Energy-elasticity of Crown Schedules for Sets of Parallelizable Tasks on Many-core Systems with DVFS. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Nicolas Melot, Christoph W. Kessler, Jörg Keller 0001 Voltage Island-Aware Energy-Efficient Scheduling of Parallel Streaming Tasks on Many-Core CPUs. Search on Bibsonomy PDP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Matthieu Pilaudeau, Dan Grigoras Experimental results regarding the workload of many-core mobile devices. Search on Bibsonomy ISPDC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Takuya Azumi, Yuya Maruyama, Shinpei Kato ROS-lite: ROS Framework for NoC-Based Embedded Many-Core Platform. Search on Bibsonomy IROS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Stefano Aldegheri, Nicola Bombieri, Hiren D. Patel On the Task Mapping and Scheduling for DAG-based Embedded Vision Applications on Heterogeneous Multi/Many-core Architectures. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Maximilien Dupont de Dinechin, Matheus Schuh, Matthieu Moy, Claire Maiza Scaling Up the Memory Interference Analysis for Hard Real-Time Many-Core Systems. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Konstantinos Iliakis, Sotirios Xydis, Dimitrios Soudris Resource-Aware MapReduce Runtime for Multi/Many-core Architectures. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Mohammad Hashem Haghbayan, Antonio Miele, Zhuo Zou, Hannu Tenhunen, Juha Plosila Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ginés Doménech-Asensi, Tom J. Kazmierski High-speed analog simulation of CMOS vision chips using explicit integration techniques on many-core processors. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jie Zhang 0048, Miryeong Kwon, Michael M. Swift, Myoungsoo Jung Scalable Parallel Flash Firmware for Many-core Architectures. Search on Bibsonomy FAST The full citation details ... 2020 DBLP  BibTeX  RDF
15Cheongjun Lee, Jaehwan Lee 0001, Donghun Koo, Chungyong Kim, Jiwoo Bang, Eun-Kyu Byun, Hyeonsang Eom Empirical Analysis of the I/O Characteristics of a Highly Integrated Many-Core Processor. Search on Bibsonomy ACSOS Companion The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Bohong Yin, Yunchun Li, Ming Dun, Xin You, Hailong Yang, Zhongzhi Luan, Depei Qian swGBDT: Efficient Gradient Boosted Decision Tree on Sunway Many-Core Processor. Search on Bibsonomy SCFA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jürgen Teich, Behnaz Pourmohseni, Oliver Keszöcze, Jan Spieck, Stefan Wildermann Run-Time Enforcement of Non-Functional Application Requirements in Heterogeneous Many-Core Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ryotaro Koike, Takuro Fukunaga, Shingo Igarashi, Takuya Azumi Contention-Free Scheduling for Clustered Many-Core Platform. Search on Bibsonomy ICESS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sandhya Koteshwara, Manoj Kumar 0006, Pratap Pattnaik Performance Optimization of Lattice Post-Quantum Cryptographic Algorithms on Many-Core Processors. Search on Bibsonomy ISPASS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Alzemiro Lucas da Silva, André Luís Del Mestre Martins, Fernando Gehm Moraes Mapping and Migration Strategies for Thermal Management in Many-Core Systems. Search on Bibsonomy SBCCI The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Shifu Wu, Bevan M. Baas Indexed Color History Many-Core Engines for Display Stream Compression Decoders. Search on Bibsonomy ICECS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ying Wang 0001, Mengdi Wang, Bing Li 0017, Huawei Li 0001, Xiaowei Li 0001 A Many-Core Accelerator Design for On-Chip Deep Reinforcement Learning. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15An Zou, Karthik Garimella, Benjamin Lee, Christopher D. Gill, Xuan Zhang 0001 F-LEMMA: Fast Learning-based Energy Management for Multi-/Many-core Processors. Search on Bibsonomy MLCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Klaudia Jablonska, Pawel Czarnul Benchmarking Deep Neural Network Training Using Multi- and Many-Core Processors. Search on Bibsonomy CISIM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Zakir Zakir Ahmed, Harish K. Krishnamurthy, Sheldon Weng, Xiaosen Liu, Christopher Schaef, Nachiket V. Desai, Krishnan Ravichandran, James W. Tschanz, Vivek De An Autonomous Reconfigurable Power Delivery Network (RPDN) for Many-Core SoCs Featuring Dynamic Current Steering. Search on Bibsonomy VLSI Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Stefano Quer A Parallel Many-core CUDA-based Graph Labeling Computation. Search on Bibsonomy ICSOFT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Iaçanã I. Weber, Geaninne Marchezan, Luciano L. Caimi, César A. M. Marcon, Fernando Gehm Moraes Open-Source NoC-Based Many-Core for Evaluating Hardware Trojan Detection Methods. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Kentaro Honda, Hiroshi Fujimoto, Takuya Azumi Estimation Method Considering OS Overheads for Embedded Many-Core Platform. Search on Bibsonomy EUC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ryo Yoshinaka, Takuya Azumi Model-Based Development Considering Self-Driving Systems for Many-Core Processors. Search on Bibsonomy ETFA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Xiaohui Duan, Ping Gao 0005, Meng Zhang, Tingjian Zhang, Hongsong Meng, Yuxuan Li, Bertil Schmidt, Haohuan Fu, Lin Gan, Wei Xue, Weiguo Liu, Guangwen Yang Cell-list based molecular dynamics on many-core processors: a case study on sunway TaihuLight supercomputer. Search on Bibsonomy SC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Youbing Zhong, Zhou Zhou 0007, Xuan Liu 0006, Da Li 0002, Meijun Guo, Shuai Zhang, Qingyun Liu, Li Guo BPA: The Optimal Placement of Interdependent VNFs in Many-Core System. Search on Bibsonomy CollaborateCom (2) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15 11th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures / 9th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM@HiPEAC 2020, Bologna, Italy, January, 2020 Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Behnaz Pourmohseni, Fedor Smirnov, Stefan Wildermann, Jürgen Teich Real-Time Task Migration for Dynamic Resource Management in Many-Core Systems. Search on Bibsonomy NG-RES@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Patrick Finnerty, Tomio Kamada, Chikara Ohta Self-adjusting task granularity for Global load balancer library on clusters of many-core processors. Search on Bibsonomy PMAM@PPoPP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Hengli Huang, Xiaohang Wang 0001, Yingtao Jiang, Amit Kumar Singh 0002, Mei Yang, Letian Huang On Countermeasures Against the Thermal Covert Channel Attacks Targeting Many-core Systems. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Shuai Zhao 0004, Zhe Jiang 0004, Xiaotian Dai 0001, Iain Bate, Ibrahim Habli, Wanli Chang 0001 Timing-Accurate General-Purpose I/O for Multi- and Many-Core Systems: Scheduling and Hardware Support. Search on Bibsonomy DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Hengyu Cai, Chengming Ning, Qilong Zheng Deep Learning Optimization for Many-Core Virtual Platforms. Search on Bibsonomy PAAP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Constantin Pohl Hardware-conscious query processing for the many-core era. Search on Bibsonomy 2020   RDF
15Leonard Masing Prototyping Methodologies and Design of Communication-centric Heterogeneous Many-core Architectures. Search on Bibsonomy 2020   RDF
15James Alexander Edwards Study of Fine-Grained, Irregular Parallel Applications on a Many-Core Processor. Search on Bibsonomy 2020   RDF
15Boqian Wang High-Performance Network-on-Chip Design for Many-Core Processors. Search on Bibsonomy 2020   RDF
15José L. Núñez-Yáñez, Kris Nikov, Kerstin Eder, Mohammad Hosseinabady Run-Time Power Modelling in Embedded GPUs with Dynamic Voltage and Frequency Scaling. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Mohammad Hosseinabady, José L. Núñez-Yáñez Sparse Matrix-Dense Matrix Multiplication on Heterogeneous CPU+FPGA Embedded System. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Nicola Fossati, Daniele Cattaneo 0002, Michele Chiari, Stefano Cherubin, Giovanni Agosta Automated Precision Tuning in Activity Classification Systems: A Case Study. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Petr Dobiás, Emmanuel Casseau, Oliver Sinnen Fault-Tolerant Online Scheduling Algorithms for CubeSats. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Vittoriano Muttillo, Paolo Giammatteo, Giuseppe Fiorilli, Luigi Pomante An OpenMP Parallel Genetic Algorithm for Design Space Exploration of Heterogeneous Multi-processor Embedded Systems. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Marcelo Ruaro, Luciano L. Caimi, Vinicius Fochi, Fernando Gehm Moraes Memphis: a framework for heterogeneous many-core SoCs generation and validation. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yuedan Chen, Kenli Li 0001, Xiongwei Fei, Zhe Quan, Keqin Li 0001 Implementation and optimization of a data protecting model on the Sunway TaihuLight supercomputer with heterogeneous many-core processors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Anuj Pathania, Jörg Henkel HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Lihuan Wang, Shuyan Jiang, Shuyu Chen, Junshi Wang, Letian Huang Optimized mapping algorithm to extend lifetime of both NoC and cores in many-core system. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Ye Liu 0003, Shinpei Kato, Masato Edahiro Optimization of the Load Balancing Policy for Tiled Many-Core Processors. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Ye Liu 0003, Shinpei Kato, Masato Edahiro Analysis of Memory System of Tiled Many-Core Processors. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hao Zhang 0058, You Fu, Lu-Bin Feng, Yue Zhang, Rong Hua Implementation of Hybrid Alignment Algorithm for Protein Database Search on the SW26010 Many-Core Processor. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Rui Liu 0020, Yi Liu 0013, Meiting Zhao, Kaida Song, Depei Qian SunwayImg: A Parallel Image Processing Library for the Sunway Many-Core Processor. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Tao Liu 0029, Yuan Zhuang, Min Tian, Jingshan Pan, Yunhui Zeng, Ying Guo, Meihong Yang Parallel Implementation and Optimization of Regional Ocean Modeling System (ROMS) Based on Sunway SW26010 Many-Core Processor. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Daniel Gregorek, Jochen Rust, Alberto García Ortiz DRACON: A Dedicated Hardware Infrastructure for Scalable Run-Time Management on Many-Core Systems. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Min Li, Chao Yang 0002, Qiao Sun, Wenjing Ma, Wenlong Cao, Yulong Ao Enabling Highly Efficient k-Means Computations on the SW26010 Many-Core Processor of Sunway TaihuLight. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15José M. Badía, Jose A. Belloch, Maximo Cobos, Francisco D. Igual, Enrique S. Quintana-Ortí Accelerating the SRP-PHAT algorithm on multi- and many-core platforms using OpenCL. Search on Bibsonomy J. Supercomput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Jose A. Belloch, Adrian Amor-Martin, Daniel Garcia-Donoro, Francisco-Jose Martínez-Zaldívar, Luis E. García-Castillo On the use of many-core machines for the acceleration of a mesh truncation technique for FEM. Search on Bibsonomy J. Supercomput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Behnaz Pourmohseni, Stefan Wildermann, Michael Glaß, Jürgen Teich Hard real-time application mapping reconfiguration for NoC-based many-core systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Rafael Garibotti, Luciano Ost, Anastasiia Butko, Ricardo Reis 0001, Abdoulaye Gamatié, Gilles Sassatelli Exploiting memory allocations in clusterised many-core architectures. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hasan Metin Aktulga, Chris Knight 0001, Paul K. Coffman, Kurt A. O'Hearn, Tzu-Ray Shan, Wei Jiang Optimizing the performance of reactive molecular dynamics simulations for many-core architectures. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Anand Venkat, Tharindu Rusira, Raj Barik, Mary W. Hall, Leonard Truong SWIRL: High-performance many-core CPU code generation for deep neural networks. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Emerson Carlos Pedrino, Denis Pereira de Lima, Gianluca Tempesti A multiobjective metaheuristic approach for morphological filters on many-core architectures. Search on Bibsonomy Integr. Comput. Aided Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Donglin Chen, Jianbin Fang, Shizhao Chen, Chuanfu Xu, Zheng Wang 0001 Optimizing Sparse Matrix-Vector Multiplications on an ARMv8-based Many-Core Architecture. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hai Wang 0002, Diya Tang, Ming Zhang, Sheldon X.-D. Tan, Chi Zhang 0029, He Tang, Yuan Yuan 0030 GDP: A Greedy Based Dynamic Power Budgeting Method for Multi/Many-Core Systems in Dark Silicon. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Alireza Poshtkohi, M. B. Ghaznavi-Ghoushchi, Kamyar Saghafi Optimistic Modeling and Simulation of Complex Hardware Platforms and Embedded Systems on Many-Core HPC Clusters. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Nabil Abubaker, Kadir Akbudak, Cevdet Aykanat Spatiotemporal Graph and Hypergraph Partitioning Models for Sparse Matrix-Vector Multiplication on Many-Core Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Liang Wang 0020, Ping Lv, Leibo Liu, Jie Han 0001, Ho-fung Leung, Xiaohang Wang 0001, Shouyi Yin, Shaojun Wei, Terrence S. T. Mak A Lifetime Reliability-Constrained Runtime Mapping for Throughput Optimization in Many-Core Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hang Lu, Yisong Chang, Guihai Yan, Ning Lin, Xin Wei, Xiaowei Li 0001 ShuttleNoC: Power-Adaptable Communication Infrastructure for Many-Core Processors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Shervin Hajiamini, Behrooz A. Shirazi, Hongbo Dong 0001, Chris Cain Optimality of dynamic voltage/frequency scaling in many-core systems with voltage-frequency islands. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Marcelo Ruaro, Axel Jantsch, Fernando Gehm Moraes Self-Adaptive QoS Management of Computation and Communication Resources in Many-Core SoCs. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Vanchinathan Venkataramani, Mun Choon Chan, Tulika Mitra Scratchpad-Memory Management for Multi-Threaded Applications on Many-Core Architectures. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Zhe Jiang 0004, Neil C. Audsley, Pan Dong BlueIO: A Scalable Real-Time Hardware I/O Virtualization System for Many-core Embedded Systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hikaru Takayashiki, Masayuki Sato 0001, Kazuhiko Komatsu, Hiroaki Kobayashi A Skewed Multi-banked Cache for Many-core Vector Processors. Search on Bibsonomy Supercomput. Front. Innov. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Subodha Charles, Alif Ahmed, Ümit Y. Ogras, Prabhat Mishra 0001 Efficient Cache Reconfiguration Using Machine Learning in NoC-Based Many-Core CMPs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Vahid Noormofidi Simulating Nonlinear Neutrino Oscillations on Next-Generation Many-Core Architectures. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Mark Van der Merwe, Vinu Joseph, Ganesh Gopalakrishnan Message Scheduling for Performant, Many-Core Belief Propagation. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
15Sebastian Höppner, Bernhard Vogginger, Yexin Yan, Andreas Dixius, Stefan Scholze, Johannes Partzsch, Felix Neumaerker, Stephan Hartmann 0002, Stefan Schiefer, Georg Ellguth, Love Cederstroem, Luis A. Plana, Jim D. Garside, Steve B. Furber, Christian Mayr 0001 Dynamic Power Management for Neuromorphic Many-Core Systems. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
Displaying result #701 - #800 of 2671 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license