The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Neil Evans Refinement for Pipelining in Event-B. Search on Bibsonomy Refine@FM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Miguel Eduardo Litvin, Samiha Mourad Wave Pipelining Using Self Reset Logic. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ching-Wen Chen, Chuan-Chi Weng, Chang-Jung Ku Design of a low power and low latency MAC protocol with node grouping and transmission pipelining in wireless sensor networks. Search on Bibsonomy Comput. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Qingfeng Zhuge, Chun Jason Xue, Meikang Qiu, Jingtong Hu, Edwin Hsing-Mean Sha Timing optimization via nest-loop pipelining considering code size. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ying-Hsiang Wen, Jen-Wei Huang, Ming-Syan Chen Hardware-Enhanced Association Rule Mining with Hashing and Pipelining. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware-enhanced mining, data mining, Association Rules
15Jin-Su Jung, Genhua Jin, Hyuk-Jae Lee Early Termination and Pipelining for Hardware Implementation of Fast H.264 Intraprediction Targeting Mobile HD Applications. Search on Bibsonomy EURASIP J. Adv. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wesley Holland, Yoginder S. Dandass Optimizing Pipelining in HDL Generated Automatically from C Source Codes. Search on Bibsonomy ERSA The full citation details ... 2008 DBLP  BibTeX  RDF
15Lei Jiang, Yongxin Zhu 0001, Yipeng Wei Software Pipelining with Minimal Loop Overhead on Transport Triggered Architecture. Search on Bibsonomy ICESS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Weirong Jiang, Viktor K. Prasanna Multi-Way Pipelining for Power-Efficient IP Lookup. Search on Bibsonomy GLOBECOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Eslam Yahya, Marc Renaudin Asynchronous Linear Pipelines: An efficient-optimal pipelining algorithm. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Anh Tuan Hoang, Katsuhiro Yamazaki, Shigeru Oyanagi Multi-stage Pipelining MD5 Implementations on FPGA with Data Forwarding. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Kieron Turkington, George A. Constantinides, Peter Y. K. Cheung, Konstantinos Masselos Co-optimisation of datapath and memory in outer loop pipelining. Search on Bibsonomy FPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Duoduo Liao, Simon Y. Berkovich A conceptual system for parallel solid voxelization using multi-processor pipelining. Search on Bibsonomy VRCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Xiaoding Chen, Michael S. Hsiao An Overlapping Scan Architecture for Reducing Both Test Time and Test Power by Pipelining Fault Detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Wei-min Wang, Du-yan Bi, Xingmin Du, Lin-hua Ma A VLSI Design of a Pipelining and Area-Efficient Reed-Solomon Decoder. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rui M. M. Rodrigues, João M. P. Cardoso On Pipelining Sequences of Data-Dependent Loops. Search on Bibsonomy J. Univers. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Chunhui Zhang, Yun Long, Fadi J. Kurdahi A hierarchical pipelining architecture and FPGA implementation for lifting-based 2-D DWT. Search on Bibsonomy J. Real Time Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15N. I. V'yukova, V. A. Galatenko, S. V. Samborskii Software pipelining of loops by the method of modulo scheduling. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yoonjin Kim, Mary Kiemb, Chulsoo Park, Jinyong Jung, Kiyoung Choi Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
15Andrew L. Newton XML Pipelining with Chunks for the Internet Registry Information Service. Search on Bibsonomy RFC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15José Castro, Jimmy Secretan, Michael Georgiopoulos, Ronald F. DeMara, Georgios C. Anagnostopoulos, Avelino J. Gonzalez Pipelining of Fuzzy ARTMAP without matchtracking: Correctness, performance bound, and Beowulf evaluation. Search on Bibsonomy Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hongbo Rong, R. Govindarajan Advances in Software Pipelining. Search on Bibsonomy The Compiler Design Handbook, 2nd ed. The full citation details ... 2007 DBLP  BibTeX  RDF
15Alban Douillet, Guang R. Gao Software-Pipelining on Multi-Core Architectures. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Mohammed Fellahi, Albert Cohen 0001, Sid Ahmed Ali Touati Code-size conscious pipelining of imperfectly nested loops. Search on Bibsonomy MEDEA@PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rui Rodrigues 0004, João M. P. Cardoso, Pedro C. Diniz A Data-Driven Approach for Pipelining Sequences of Data-Dependent Loops. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Nele Mentens, Kazuo Sakiyama, Bart Preneel, Ingrid Verbauwhede Efficient pipelining for modular multiplication architectures in prime fields. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF public key coprocessor, FPGA, cryptography, montgomery multiplication
15Christopher Kumar Anand, Wolfram Kahl MultiLoop: efficient software pipelining for modern hardware. Search on Bibsonomy CASCON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Matthias Korch, Thomas Rauber Optimizing locality and scalability of embedded Runge-Kutta solvers using block-based pipelining. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chua-Chin Wang, Jian-Ming Huang, Yih-Long Tseng, Wun-Ji Lin, Ron Hu Phase-Adjustable Pipelining ROM-Less Direct Digital Frequency Synthesizer With a 41.66-MHz Output Frequency. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chao Cheng, Keshab K. Parhi High-Speed Parallel CRC Implementation Based on Unfolding, Pipelining, and Retiming. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Suryanarayana Tatapudi, José G. Delgado-Frias A mesochronous pipelining scheme for high-performance digital systems. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kun Gao, Stavros Harizopoulos, Ippokratis Pandis, Vladislav Shkapenyuk, Anastassia Ailamaki Simultaneous Pipelining in QPipe: Exploiting Work Sharing Opportunities Across Queries. Search on Bibsonomy ICDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jakub Kurzak, Jack J. Dongarra Implementing Linear Algebra Routines on Multi-core Processors with Pipelining and a Look Ahead. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Miguel Eduardo Litvin, Samiha Mourad, William Terry, Janice Terry Wave Pipelining using Self Reset Logic. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jingye Xu, Masud H. Chowdhury Bit Error Rate Analysis for Flip-flop and Latch Based Interconnect Pipelining. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Malika Mahoui, Zina Ben-Miled, Sriram Srinivasan, Mindi Dippold, Bing Yang, Nianhua Li SIBIOS Ontology: A Robust Package for the Integration and Pipelining of Bioinformatics Services. Search on Bibsonomy DILS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ontologies, fault tolerance, Data integration, scientific workflows
15Manoj Ampalam, Montek Singh Counterflow pipelining: architectural support for preemption in asynchronous systems using anti-tokens. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Gennette Gill, John Hansen, Montek Singh Loop pipelining for high-throughput stream computation using self-timed rings. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ke Zhou 0001, Frank Wang, Yuhui Deng Pipelining scheduling and hierarchical-volume management in a storage area network. Search on Bibsonomy SoSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Adnan Abdul-Aziz Gutub, Mohammad K. Ibrahim, Ahmad Kayali Pipelining GF(P) Elliptic Curve Cryptography Computation. Search on Bibsonomy AICCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Abdelhalim Alsharqawi, Abdel Ejnioui Clockless Pipelining for Coarse Grain Datapaths. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Arun Rodrigues, Kyle B. Wheeler, Peter M. Kogge, Keith D. Underwood Fine-Grained Message Pipelining for Improved MPI Performance. Search on Bibsonomy CLUSTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15John E. Barth Jr., Darren Anand, Steve Burns 0001, Jeffrey H. Dreibelbis, John A. Fifield, Kevin W. Gorman, Michael R. Nelms, Erik Nelson, Adrian Paparelli, Gary Pomichter, Dale E. Pontius, Stephen Sliva A 500-MHz multi-banked compilable DRAM macro with direct write and programmable pipelining. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jean-Luc Gaudiot, Jung-Yup Kang, Won Woo Ro Techniques to Improve Performance Beyond Pipelining: Superpipelining, Superscalar, and VLIW. Search on Bibsonomy Adv. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Olivier Beaumont, Arnaud Legrand, Loris Marchal, Yves Robert Pipelining Broadcasts on Heterogeneous Platforms. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Scheduling, grids, heuristics, broadcast, NP-completeness, collective communications, heterogeneous clusters
15Mario R. Casu, Luca Macchiarulo Throughput-driven floorplanning with wire pipelining. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Lin Qiao, Weitong Huang, Zhizhong Tang A Static Data Dependence Analysis Approach for Software Pipelining. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Yoonjin Kim, Mary Kiemb, Chulsoo Park, Jinyong Jung, Kiyoung Choi Resource Sharing and Pipelining in Coarse-Grained Reconfigurable Architecture for Domain-Specific Optimization. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Steven W. Alexander, Robert W. Stewart The effects of pipelining feedback loops in high speed DSP systems. Search on Bibsonomy ICASSP (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Florian Dittmann 0001 Efficient Execution on Reconfigurable Devices Using Concepts of Pipelining. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Chi-Wei Wang, Nicholas P. Carter, Richard B. Kujoth, Jeffrey J. Cook, Derek B. Gottlieb Exploiting Pipelining to Tolerate Wire Delays in a Programmable-Reconfigurable Processor. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ramana Rao Kompella, Sriram Ramabhadran, Ishwar Ramani, Alex C. Snoeren Cooperative packet scheduling via pipelining in 802.11 wireless networks. Search on Bibsonomy E-WIND@SIGCOMM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Abdelhalim Alsharqawi, Abdel Ejnioui SRSL pipelining of coarse-grain datapaths. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Suryanarayana Tatapudi, José G. Delgado-Frias A Pipelined Multiplier Using A Hybrid Wave-Pipelining Scheme. Search on Bibsonomy CDES The full citation details ... 2005 DBLP  BibTeX  RDF
15Alexander B. Smirnov, Alexander Taubin, Ming Su, Mark G. Karpovsky An Automated Fine-Grain Pipelining Using Domino Style Asynchronous Library. Search on Bibsonomy ACSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asynchronous EDA, QDI, synthesis, ASIC, HDL
15Peter A. Boncz, Marcin Zukowski, Niels Nes MonetDB/X100: Hyper-Pipelining Query Execution. Search on Bibsonomy CIDR The full citation details ... 2005 DBLP  BibTeX  RDF
15Peter Suaris, Taeho Kgil, Keith A. Bowman, Vivek De, Trevor N. Mudge Total power-optimal pipelining and parallel processing under process variations in nanometer technology. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Karl Papadantonakis, Nachiket Kapre, Stephanie Chan, André DeHon Pipelining Saturated Accumulation. Search on Bibsonomy FPT The full citation details ... 2005 DBLP  BibTeX  RDF
15Yongru Gu, Keshab K. Parhi Pipelining Tomlinson-Harashima precoders. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Stephan Hermanns, Sorin A. Huss Synchronisierungsprobleme von Schaltwerken in Wave Pipelining Architektur und ihre Auswirkungen auf die Wahl der Schaltungstechnik. Search on Bibsonomy GI Jahrestagung (1) The full citation details ... 2005 DBLP  BibTeX  RDF
15Jeff Sutherland Future of Scrurn: Parallel Pipelining of Sprints in Complex Projects. Search on Bibsonomy AGILE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ronald D. Barnes Multiple-Pass Pipelining: Enhancing in-Order Microarchitectures to Out-of-Order Performance Search on Bibsonomy 2005   RDF
15Hai Zhou 0001, Chuan Lin 0002 Retiming for wire pipelining in system-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Pradeep Kumar Mishra Scalar Multiplication in Elliptic Curve Cryptosystems: Pipelining with Pre-computations. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2004 DBLP  BibTeX  RDF
15Arnd-Ragnar Rhiemeier, Timo Weiss, Friedrich K. Jondral Half-frame pipelining for modular software defined radio. Search on Bibsonomy PIMRC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Keshab K. Parhi Pipelining of parallel multiplexer loops and decision feedback equalizers. Search on Bibsonomy ICASSP (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Olivier Beaumont, Arnaud Legrand, Loris Marchal, Yves Robert Pipelining Broadcasts on Heterogeneous Platforms. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Henry Styles, David B. Thomas, Wayne Luk Pipelining designs with loop-carried dependencies. Search on Bibsonomy FPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Hans M. Jacobson Improved clock-gating through transparent pipelining. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive pipeline depth, dynamic pipeline scaling, optimal pipeline clocking, pipeline stage unification, transparent pipeline, low power, high performance, microarchitecture, circuits, clock gating
15Tung-Chien Chen, Yu-Wen Huang, Liang-Gee Chen Analysis and design of macroblock pipelining for H.264/AVC VLSI architecture. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
15Keshab K. Parhi Novel pipelining of MSB-first add-compare select unit structure for Viterbi decoders. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
15Scott C. Smith Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
15Minoru Udagawa, Nobuyoshi Sato, Minoru Uehara, Yoshifumi Sakai Distributed Pipelining Processing for Index Updating Method. Search on Bibsonomy AINA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Milos Becvár Teaching basics of instruction pipelining with HDLDLX. Search on Bibsonomy WCAE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Xue Yang 0007, Nitin H. Vaidya DSCR: a wireless MAC protocol using implicit pipelining. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Mrityunjoy Chakraborty, Suraiya Pervin Pipelining the adaptive decision feedback equalizer with zero latency. Search on Bibsonomy Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Mostafa Bamha, Matthieu Exbrayat Pipelining a Skew-Insensitive Parallel Join Algorithm. Search on Bibsonomy Parallel Process. Lett. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Fine-grain real-time reconfigurable pipelining. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Brian D. Winters, Mark R. Greenstreet Surfing: a robust form of wave pipelining using self-timed circuit techniques. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Nilesh N. Dalvi, Sumit K. Sanghai, Prasan Roy, S. Sudarshan 0001 Pipelining in multi-query optimization. Search on Bibsonomy J. Comput. Syst. Sci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Chuan Lin 0002, Hai Zhou 0001 Retiming for Wire Pipelining in System-On-Chip. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Jiun-In Guo, Chih-Da Chien, Chien-Chang Lin A parameterized low power design for the variable-length discrete Fourier transform using dynamic pipelining. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Hyun-Wook Jin, Chuck Yoo Analysis and Enhancement of Pipelining the Protocol Overheads for a High Throughput. Search on Bibsonomy PDPTA The full citation details ... 2003 DBLP  BibTeX  RDF
15André Seznec, Antony Fraboulet Effective ahead Pipelining of Instruction Block Address Generation. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Cagdas Akturan, Margarida F. Jacome An Effective Software Pipelining Algorithm for Clustered Embedded VLIW Processors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15K. K. Leung, N. H. C. Yung, Paul Y. S. Cheung Novel Neighborhood Search for Multiprocessor Scheduling with Pipelining. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Vicki H. Allan, Stephen J. Allan Software Pipelining. Search on Bibsonomy The Compiler Design Handbook The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Dirk Fimmel, Jan Müller 0001 Optimal Software Pipelining with Rational Initiation Interval. Search on Bibsonomy PDPTA The full citation details ... 2002 DBLP  BibTeX  RDF
15Hiroya Itoga, Yoshiyuki Yamashita, Jiro Tanaka Register Allocation for Predicted Pipelining Using Spiral Graph. Search on Bibsonomy PDPTA The full citation details ... 2002 DBLP  BibTeX  RDF
15Scott C. Smith, Ronald F. DeMara, Jiann-Shiun Yuan, M. Hagedorn, Dennis Ferguson Delay-insensitive gate-level pipelining. Search on Bibsonomy Integr. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Dirk Fimmel, Jan Müller 0001 Optimal Software Pipelining Under Resource Constraints. Search on Bibsonomy Int. J. Found. Comput. Sci. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Karthik Balasubramanian, David K. Lowenthal Efficient Support for Pipelining in Software Distributed Shared Memory Systems. Search on Bibsonomy Scalable Comput. Pract. Exp. The full citation details ... 2001 DBLP  BibTeX  RDF
15Amit Singh 0001, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Interconnect pipelining in a throughput-intensive FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Noureddine Chabini, Yvon Savaria Methods for optimizing register placement in synchronous circuits derived using software pipelining techniques. Search on Bibsonomy ISSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Maria-Cristina V. Marinescu, Martin C. Rinard High-level automatic pipelining for sequential circuits. Search on Bibsonomy ISSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Elana D. Granston, Eric Stotzer, Joe Zbiciak Software Pipelining Irregular Loops On the TMS320C6000 VLIW DSP Architecture. Search on Bibsonomy OM@PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Cagdas Akturan, Margarida F. Jacome CALiBeR: A Software Pipelining Algorithm for Clustered Embedded VLIW Processors. Search on Bibsonomy ICCAD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Juergen Haas Flexible Web-Controlled Pipelining of Stand-Alone Windows Data Analysis Applications. Search on Bibsonomy German Conference on Bioinformatics The full citation details ... 2001 DBLP  BibTeX  RDF
15D. Grundman, A. Michalek Network Computing With CPS - Pipelining Comes for Free. Search on Bibsonomy International Conference on Internet Computing (1) The full citation details ... 2001 DBLP  BibTeX  RDF
15Min Dai, Christine Eisenbeis, Sid Ahmed Ali Touati Load-store optimization for software pipelining. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license