The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Andrew B. Kahng, Chul-Hong Park, Puneet Sharma, Qinke Wang Lens aberration aware timing-driven placement. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Joseph Antony, Pete P. Janes, Alistair P. Rendell Exploring Thread and Memory Placement on NUMA Architectures: Solaris and Linux, UltraSPARC/FirePlane and Opteron/HyperTransport. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Yongping Tang, Yema Liverpool, Thomas E. Daniels Monitor placement for stepping stone analysis. Search on Bibsonomy IPCCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Yongluan Zhou, Beng Chin Ooi, Kian-Lee Tan, Ji Wu 0011 Efficient Dynamic Operator Placement in a Locally Distributed Continuous Query System. Search on Bibsonomy OTM Conferences (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Thomas Röblitz, Krzysztof Rzadca On the Placement of Reservations into Job Schedules. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Manuel Rubio del Solar, Juan Manuel Sánchez-Pérez, Juan Antonio Gómez Pulido, Miguel A. Vega-Rodríguez Placement and routing of Boolean functions in constrained FPGAs using a distributed genetic algorithm and local search. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Gion Reto Cantieni, Gianluca Iannaccone, Chadi Barakat, Christophe Diot, Patrick Thiran Reformulating the monitor placement problem: optimal network-wide sampling. Search on Bibsonomy CoNEXT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Chris Loeser, Gunnar Schomaker, Matthias Schubert, Tim Schattkowsky Fairness Considerations in Replication and Placement Strategies for large Documents in heterogeneous Content Delivery Networks. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ali Ozer Ercan, Danny B. Yang, Abbas El Gamal, Leonidas J. Guibas Optimal Placement and Selection of Camera Network Nodes for Target Localization. Search on Bibsonomy DCOSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ruofeng Tong 0001, Shenghui Liao, Jinxiang Dong Optimization Technique and FE Simulation for Lag Screw Placement in Anterior Column of the Acetabulum. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Lijuan Luo, Qiang Zhou 0001, Yici Cai, Xianlong Hong, Yibo Wang A novel technique integrating buffer insertion into timing driven placement. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Sheng-Ta Hsieh, Tsung-Ying Sun, Cheng-Wei Lin, Chun-Ling Lin Placement Constraints and Macrocell Overlap Removal Using Particle Swarm Optimization. Search on Bibsonomy ANTS Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Carlos Nahas, Ricardo Villalobos Guevara, Voicu Groza Temporal Placement for Run-Time Reconfiguration. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Dan Feng 0001, Lingjun Qin Adaptive Object Placement in Object-Based Storage Systems with Minimal Blocking Probability. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Norihide Okada, Chikaaki Kodama, Takashi Sato, Kunihiro Fujiyoshi Thermal Driven Module Placement Using Sequence-pair. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Ravi Arora, Sachin Shrivastava Area Recovery by Abutted Cell Placement: Can Fillers be Killers? An Eye-opening Viewpoint! Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bo Hu 0006, Malgorzata Marek-Sadowska Multilevel fixed-point-addition-based VLSI placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Francesco Lo Presti, Chiara Petrioli, Claudio Vicari Dynamic Replica Placement in Content Delivery Networks. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Rajiv A. Ravindran, Pracheeti D. Nagarkar, Ganesh S. Dasika, Eric D. Marsman, Robert M. Senger, Scott A. Mahlke, Richard B. Brown Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache. Search on Bibsonomy CGO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden Floorplan management: incremental placement for gate sizing and buffer insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Qingzhou (Ben) Wang, John Lillis, Shubhankar Sanyal An LP-based methodology for improved timing-driven placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Markus Koester, Mario Porrmann, Ulrich Rückert 0001 Placement-Oriented Modeling of Partially Reconfigurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Roozbeh Jafari, Foad Dabiri, Majid Sarrafzadeh An Efficient Placement and Routing Technique for Fault-Tolerant Distributed Embedded Computing. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nan Tang 0001, Guoren Wang, Jeffrey Xu Yu, Kam-Fai Wong, Ge Yu 0001 WIN: An Effcient Data Placement Strategy for Parallel XML Databases. Search on Bibsonomy ICPADS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Aaron So, Ben Liang 0001 An Efficient Algorithm for the Optimal Placement of Wireless Extension Points in RectilinealWireless Local Area Networks. Search on Bibsonomy QSHINE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Swaminathan Sivasubramanian, Guillaume Pierre, Maarten van Steen Autonomic Data Placement Strategies for Update-intensiveWeb applications. Search on Bibsonomy AAA-IDEA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Hiroyuki Mori, Shingo Tsunokawa Variable neighborhood tabu search for capacitor placement in distribution systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Marco Feuerstein, Stephen M. Wildhirt, Robert Bauernschmitt, Nassir Navab Automatic Patient Registration for Port Placement in Minimally Invasixe Endoscopic Surgery. Search on Bibsonomy MICCAI (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Qingzhou (Ben) Wang, Devang Jariwala, John Lillis A study of tighter lower bounds in LP relaxation based placement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Keen-Mun Yong, Gee-Swee Poo, Tee-Hiang Cheng Optimal Placement of Multicast and Wavelength Converting Nodes in Multicast Optical Virtual Private Network. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Yongseok Cheon, Pei-Hsin Ho, Andrew B. Kahng, Sherief Reda, Qinke Wang Power-aware placement. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF net switching power, dynamic power, clock tree
21Wai-Kei Mak I/O placement for FPGAs with multiple I/O standards. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jason Cong, Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Florin Balasa, Sarat C. Maruvada, Karthik Krishnamoorthy On the exploration of the solution space in analog placement with symmetry constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Qiang Chen, Oliver W. W. Yang On designing self-tuning controllers for AQM routers supporting TCP flows based on pole placement. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Boris Jan Bonfils, Philippe Bonnet Adaptive and Decentralized Operator Placement for In-Network Query Processing. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sensor network, in-network processing, sensor database
21Tatsuya Ozaki, Tadashi Dohi, Hiroyuki Okamura, Naoto Kaio Min-Max Checkpoint Placement under Incomplete Failure Information. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Venu G. Gudise, Ganesh K. Venayagamoorthy FPGA Placement and Routing Using Particle Swarm Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ali Ahmadinia, Christophe Bobda, Jürgen Teich A Dynamic Scheduling and Placement Algorithm for Reconfigurable Hardware. Search on Bibsonomy ARCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Bernd Obermeier, Frank M. Johannes Temperature-aware global placement. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Manish Handa, Ranga Vemuri An Integrated Online Scheduling and Placement Methodology. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Alexander Danilin, Sergei Sawitzki Optimizing the Performance of the Simulated Annealing Based Placement Algorithms for Island-Style FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Bo Hu 0006, Malgorzata Marek-Sadowska Multilevel expansion-based VLSI placement with blockages. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Pedro Furtado 0001 Hash-based Placement and Processing for Efficient Node Partitioned Query-Intensive Databases. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jemal H. Abawajy Placement of File Replicas in Data Grid Environments. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Seok Bong Jeong, Young-Woo Choi, Sehun Kim An Effective Placement of Detection Systems for Distributed Attack Detection in Large Scale Networks. Search on Bibsonomy WISA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Pranav Anbalagan, Jeffrey A. Davis Maximum Multiplicity Distributions for Length Prediction Driven Placement. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21M. Hutton, K. Adibsamii, A. Leaver Adaptive delay estimation for partitioning-driven PLD placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Boris Jan Bonfils, Philippe Bonnet Adaptive and Decentralized Operator Placement for In-Network Query Processing. Search on Bibsonomy IPSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Junhyung Um, Taewhan Kim Code Placement with Selective Cache Activity Minimization for Embedded Real-time Software Design. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Sarat C. Maruvada, Karthik Krishnamoorthy, Subodh Annojvala, Florin Balasa Placement with symmetry constraints for analog layout using red-black trees. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yongqiang Lu 0001, Xianlong Hong, Wenting Hou, Weimin Wu, Yici Cai Combining clustering and partitioning in quadratic placement. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Srinivas R. Kashyap, Samir Khuller Algorithms for Non-uniform Size Data Placement on Parallel Disks. Search on Bibsonomy FSTTCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Hsun-Cheng Lee, Yao-Wen Chang, Jer-Ming Hsu, Hannah Honghua Yang Multilevel floorplanning/placement for large-scale modules using B*-trees. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multilevel framework, floorplanning, lagrangian relaxation
21Xiaojian Yang, Ryan Kastner, Majid Sarrafzadeh Congestion estimation during top-down placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Ruiqi Tian, Xiaoping Tang, Martin D. F. Wong Dummy-feature placement for chemical-mechanical polishinguniformity in a shallow-trench isolation process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Yun Huang, Nalini Venkatasubramanian Data Placement in Intermittently Available Environments. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Charles J. Alpert, Gi-Joon Nam, Paul Villarrubia Free space management for cut-based placement. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Florin Balasa, Sarat C. Maruvada, Karthik Krishnamoorthy Efficient solution space exploration based on segment trees in analog placement with symmetry constraints. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Deshanand P. Singh, Stephen Dean Brown Incremental placement for layout driven optimizations on FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Lakshmish Ramaswamy, Ling Liu 0001 A New Document Placement Scheme for Cooperative Caching on the Internet. Search on Bibsonomy ICDCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Kouji Wada, Yoshiyuki Aihara, Kouichi Nakagawa, Osamu Hashimoto New tap-coupling technique for improving skirt characteristics of a λ/4 resonator bandpass filter by placement of attenuation poles. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Yasuhiro Takashima, Akira Kaneko, Shinji Sato, Mineo Kaneko Two-dimensional placement method based on divide-and-replacement. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Kazuhito Ito, Daisuke Suzuki A high-level synthesis method for simultaneous placement and scheduling considering data communication delay. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Nancy E. Miller, Donna S. Reese A placement examination for computer science II. Search on Bibsonomy ACM SIGCSE Bull. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Mahesh Sivakumar, Suresh Subramaniam 0001 Wavelength Conversion Placement and Wavelength Assignment in WDM Optical Networks. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Joerg Abke, Erich Barke A New Placement Method for Direct Mapping into LUT-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21John Karro, James P. Cohoon Gambit: A Tool for the Simultaneous Placement and Detailed Routing of Gate-Arrays. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Ruiqi Tian, Xiaoping Tang, D. F. Wong 0001 Dummy feature placement for chemical-mechanical polishing uniformity in a shallow trench isolation process. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Patrick H. Madden Reporting of standard cell placement results. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Xiaoping Wei, Nalini Venkatasubramanian Predictive Fault Tolerant Placement In Distributed Video Servers. Search on Bibsonomy ICME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Ingmar Neumann, Wolfgang Kunz Tight coupling of timing-driven placement and retiming. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Martin T. Swain, Graham J. L. Kemp A CLP Approach to the Protein Side-Chain Placement Problem. Search on Bibsonomy CP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Gulsun Yasar, Charles Chiu, Robert A. Proctor, James P. Libous I/O Cell Placement and Electrical Checking Methodology for ASICs with Peripheral I/Os. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Xiaoping Tang, D. F. Wong 0001, Ruiqi Tian Fast Evaluation of Sequence Pair in Block Placement by Longest Common Subsequence Computation. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Forrest H. Bennett III, John R. Koza, Jessen Yu, William Mydlowec Automatic Synthesis, Placement, and Routing of an Amplifier Circuit by Means of Genetic Programming. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Guang-Ming Wu, Yun-Chih Chang, Yao-Wen Chang Rectilinear Block Placement Using B*-Trees. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Ali E. Dashti, Cyrus Shahabi Data Placement Techniques for Serpentine Tapes. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21André Brinkmann, Kay Salzwedel, Christian Scheideler Efficient, distributed data placement strategies for storage area networks (extended abstract). Search on Bibsonomy SPAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Yao-Wen Chang, Yu-Tsang Chang An architecture-driven metric for simultaneous placement and global routing for FPGAs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Wai-chee Wong, Philip C. H. Chan, Wai-On Law A Technology-Independent Methodology of Placement Generation for Analog Circuit. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Tatjana Serdar, Carl Sechen AKORD: transistor level and mixed transistor/gate level placement tool for digital data paths. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Ching-Han Tsai, Sung-Mo Kang Macrocell placement with temperature profile optimization. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Kanad Chakraborty, Natesan Venkateswaran Congestion Mitigation During Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Charles J. Alpert, Tony F. Chan, Andrew B. Kahng, Igor L. Markov, Pep Mulet Faster minimization of linear wirelength for global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Chris C. N. Chu, Martin D. F. Wong A matrix synthesis approach to thermal placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Timothy J. Callahan, Philip Chong, André DeHon, John Wawrzynek Fast Module Mapping and Placement for Datapaths in FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin Performance-driven soft-macro clustering and placement by preserving HDL design hierarchy. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Hans Eisenmann, Frank M. Johannes Generic Global Placement and Floorplanning. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF verification, timing, design methodology, microprocessor
21Nikolaos G. Bourbakis, Anya Lynn Tascillo An SPN-Neural Planning Methodology for Coordination of two Robotic Hands with Constrained Placement. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF neural nets applications, planning strategies, stochastic Petri-nets
21Jens Vygen Algorithms for Large-Scale Flat Placement. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Chiu-sing Choy, Tsz-Shing Cheung, Kam-Keung Wong Incremental layout placement modification algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Kazuhiro Takahashi, Kazuo Nakajima, Masayuki Terai, Koji Sato Min-cut placement with global objective functions for large scale sea-of-gates arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Wern-Jieh Sun, Carl Sechen Efficient and effective placement for very large circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Eric Q. Kang, Rung-Bin Lin, Eugene Shragowitz Fuzzy logic approach to VLSI placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Nozomu Togawa, Masao Sato, Tatsuo Ohtsuki A simultaneous technology mapping, placement, and global routing algorithm for field-programmable gate arrays. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Sundarar Mohan, Pinaki Mazumder Wolverines: standard cell placement on a network of workstations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Richard E. Kessler, Mark D. Hill Page Placement Algorithms for Large Real-Indexed Caches. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Khushro Shahookar, Pinaki Mazumder GASP: a Genetic Algorithm for Standard cell Placement. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Michael Upton, Khosrow Samii, Stephen Sugiyama Integrated Placement for Mixed Macro Cell and Standard Cell Designs. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 16451 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license