The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculation with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1994 (18) 1995-1996 (15) 1997 (15) 1998 (24) 1999 (38) 2000 (41) 2001 (37) 2002 (34) 2003 (53) 2004 (56) 2005 (56) 2006 (44) 2007 (46) 2008 (54) 2009 (49) 2010 (49) 2011 (39) 2012 (26) 2013 (26) 2014 (30) 2015 (30) 2016 (35) 2017 (19) 2018 (17) 2019 (42) 2020 (32) 2021 (43) 2022 (28) 2023 (35) 2024 (4)
Publication types (Num. hits)
article(268) incollection(7) inproceedings(738) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
ISCA(34) CoRR(33) MICRO(28) HPCA(25) ASPLOS(23) IPDPS(21) IEEE PACT(18) ICS(17) ICCD(15) IEEE Trans. Computers(15) LCPC(15) NeSp-NLP@ACL(15) PPoPP(15) PLDI(14) CGO(13) DAC(13) More (+10 of total 434)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 811 occurrences of 404 keywords

Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Haibo Chen 0001, Xi Wu 0001, Liwei Yuan, Binyu Zang, Pen-Chung Yew, Frederic T. Chong From Speculation to Security: Practical and Efficient Information Flow Tracking Using Speculative Hardware. Search on Bibsonomy ISCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jurij Silc, Theo Ungerer, Borut Robic Dynamic branch prediction and control speculation. Search on Bibsonomy Int. J. High Perform. Syst. Archit. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Joseph M. Milner, Panos Kouvelis Inventory, Speculation, and Sourcing Strategies in the Presence of Online Exchanges. Search on Bibsonomy Manuf. Serv. Oper. Manag. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ehsan Atoofian, Amirali Baniasadi, Kaveh Aasaraai Exploiting Speculation Cost Prediction in Power-Aware Applications. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Cosmin E. Oancea, Alan Mycroft A Lightweight Model for Software Thread-Level Speculation (TLS). Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Hagen Gädke, Andreas Koch 0001 Comrade - A Compiler for Adaptive Computing Systems Using a Novel Fast Speculation Technique. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Lin Gao 0002, Lian Li 0002, Jingling Xue, Tin-Fook Ngai Loop recreation for thread-level speculation. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Michael David Black Applying Perceptrons to Speculation in Computer Architecture. Search on Bibsonomy 2007   RDF
16Daniel Rosenberg Revisiting tangible speculation: 20 years of UI prototyping. Search on Bibsonomy Interactions The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Todd M. Austin Razor: a low-power pipeline based on circuit-level timing speculation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Fredrik Warg Techniques to Reduce Thread-Level Speculation Overhead. Search on Bibsonomy 2006   RDF
16Nana B. Sam, Martin Burtscher Improving memory system performance with energy-efficient value speculation. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kim F. Radalj, Michael McAleer Speculation and destabilisation. Search on Bibsonomy Math. Comput. Simul. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Harit Modi, Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Accurate Modeling of Aggressive Speculation in Modern Microprocessor Architectures. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Markus Mock, Ricardo Villamarín-Salomón, José Baiocchi An empirical study of data speculation use on the Intel Itanium 2 processor. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Alessandro Armando, Luca Compagna, Silvio Ranise Rewriting and Decision Procedure Laboratory: Combining Rewriting, Satisfiability Checking, and Lemma Speculation. Search on Bibsonomy Mechanizing Mathematical Reasoning The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Cosmin E. Oancea, Jason W. A. Selby, Mark Giesbrecht, Stephen M. Watt Distributed Models of Thread Level Speculation. Search on Bibsonomy PDPTA The full citation details ... 2005 DBLP  BibTeX  RDF
16Alejandro Garrido, David de la Fuente, Raúl Pino, Nazario García Analysis of an Evaluation Function Applied to Stock Market Speculation Using Genetic Algorithms. Search on Bibsonomy IC-AI The full citation details ... 2005 DBLP  BibTeX  RDF
16Bayle Shanks Speculation on graph computation architectures and computing via synchronization Search on Bibsonomy CoRR The full citation details ... 2004 DBLP  BibTeX  RDF
16D. J. Kinniment, Alexandre Yakovlev Low Latency Synchronization Through Speculation. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Kiyoshi Nakano On Speculation about Difference and Similarity between a Company, a University, and a Sightseeing City from the Aspect of Business Schemes on Digital Intellectual Assets. Search on Bibsonomy SAINT Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Tao Yong, Sifeng Liu, Zhigeng Fang Analysis of the grey matrix game model in stock speculation for immediate price-margin based on grey information. Search on Bibsonomy SMC (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16David de la Fuente, Javier Puente, Raúl Pino, Alejandro Garrido The Application of Genetic Algorithms to Stock Market Speculation. Search on Bibsonomy IC-AI The full citation details ... 2004 DBLP  BibTeX  RDF
16Sami Yehia, Olivier Temam From Sequences of Dependent Instructions to Functions: An Approach for Improving Performance without ILP or Speculation. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Juliette Rouchier Re-implementation of a Multi-agent Model aimed at Sustaining Experimental Economic Research: The case of simulations with emerging speculation. Search on Bibsonomy J. Artif. Soc. Soc. Simul. The full citation details ... 2003 DBLP  BibTeX  RDF
16Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Juan L. Aragón, José González 0002, Antonio González 0001 Power-Aware Control Speculation through Selective Throttling. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Aristides Efthymiou, Jim D. Garside Adaptive Pipeline Structures fo Speculation Control. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Keir Faser, Fay Chang Operating System I/O Speculation: How Two Invocations Are Faster Than One. Search on Bibsonomy USENIX Annual Technical Conference, General Track The full citation details ... 2003 DBLP  BibTeX  RDF
16Asami Tanino, Toshinori Sato Simplifying High-Frequency Microprocessor Design via Timing Constraint Speculation. Search on Bibsonomy CAINE The full citation details ... 2003 DBLP  BibTeX  RDF
16Milos Prvulovic, Josep Torrellas ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded Codes. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Xianfeng Li, Tulika Mitra, Abhik Roychoudhury Accurate timing analysis by modeling caches, speculation and their interaction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache, worst case execution time, branch prediction
16Toshinori Sato, Kiichi Sugitani, Akihiko Hamano Evaluating Influence of Compiler Optimizations on Data Speculation. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2002 DBLP  BibTeX  RDF
16Ulrich Sigmund, Theo Ungerer On Speculation Control in Simultaneous Multithreaded Processors. Search on Bibsonomy J. Univers. Comput. Sci. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Peter Rundberg, Per Stenström An All-Software Thread-Level Data Dependence Speculation System for Multiprocessors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2001 DBLP  BibTeX  RDF
16José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF path-based selector, Branch prediction, superscalar processors, value prediction, hybrid predictor
16Wen-Mei W. Hwu, David I. August, John W. Sias Program decision logic optimization using predication and control speculation. Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Youfeng Wu, Utpal Banerjee, Yong-Fong Lee Calculation of Load Invalidation Rates for Data Speculation. Search on Bibsonomy PDCS The full citation details ... 2001 DBLP  BibTeX  RDF
16Kun Luo, Manoj Franklin, Shubhendu S. Mukherjee, André Seznec Boosting SMT Performance by Speculation Control. Search on Bibsonomy IPDPS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Sumit Gupta, Nick Savoiu, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Conditional speculation and its effects on performance and area for high-level snthesis. Search on Bibsonomy ISSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Sumit Gupta, Nick Savoiu, Sunwoo Kim, Nikil D. Dutt, Rajesh K. Gupta 0001, Alexandru Nicolau Speculation Techniques for High Level Synthesis of Control Intensive Designs. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Petter L. Skantze A fundamental approach to valuation, hedging and speculation in deregulated electricity markets. Search on Bibsonomy 2001   RDF
16Brad Calder, Glenn Reinman A Comparative Survey of Load Speculation Architectures. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 2000 DBLP  BibTeX  RDF
16Ravi Hosabettu, Ganesh Gopalakrishnan, Mandayam K. Srivas Verifying Advanced Microarchitectures that Support Speculation and Exceptions. Search on Bibsonomy CAV The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Tong Liu, Shih-Lien Lu Performance improvement with circuit-level speculation. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Heather Martin, William W. Gaver Beyond the Snapshot: From Speculation to Prototypes in Audiophotography. Search on Bibsonomy Symposium on Designing Interactive Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado A Power Perspective of Value Speculation for Superscalar Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Dejan Perkovic, Peter J. Keleher Randomization, Speculation, and Adaptation in Batch Schedulers. Search on Bibsonomy SC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Anthony DeWitt, Thomas R. Gross The potential of thread-level speculation based on value profiling. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Roy Dz-Ching Ju, Jean-Francois Collard, Karim Oukbir Probabilistic memory disambiguation and its application to data speculation. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Stefek Zaba The NSAKEY in microsoft's crypto API: facts, fiction and speculation. Search on Bibsonomy Inf. Secur. Tech. Rep. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Josef Prögler Mapping the Musical Commons: Digitization, Simulation, Speculation. Search on Bibsonomy First Monday The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Srinagesh Gavirneni 0001, Thomas E. Morton Inventory control under speculation: Myopic heuristics and exact procedures. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction for Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Path-based Selector, Branch Prediction, Value Prediction, Hybrid predictor
16Andreas Unger, Eberhard Zehendner, Theo Ungerer Utilising parallel resources by speculation. Search on Bibsonomy PDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Andreas Unger, Eberhard Zehendner, Theo Ungerer Simultaneous Speculation Scheduling - A Technique for Speculative Dual Path Execution. Search on Bibsonomy ARCS The full citation details ... 1999 DBLP  BibTeX  RDF
16Andreas Unger, Theo Ungerer, Eberhard Zehendner Simultaneous Speculation Scheduling - Dynamische Parallelisierung. Search on Bibsonomy ARCS Workshops The full citation details ... 1999 DBLP  BibTeX  RDF
16Adi Yoaz, Mattan Erez, Ronny Ronen, Stéphan Jourdan Speculation Techniques for Improving Load Related Instruction Scheduling. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Yiqing Huang Speculation-Based Distributed *Simulation for Dependability and Performance Analysis Search on Bibsonomy 1999   RDF
16José González 0002, Antonio González 0001 Data value speculation in superscalar processors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Glenn Reinman, Brad Calder Predictive Techniques for Aggressive Load Speculation. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Jordi Tubella, Antonio González 0001 Control Speculation in Multithreaded Processors through Dynamic Loop Detection. Search on Bibsonomy HPCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16J. Gregory Steffan, Todd C. Mowry The Potential for Using Thread-Level Data Speculation to Facilitate Automatic Parallelization. Search on Bibsonomy HPCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Yiqing Huang, Zbigniew Kalbarczyk, Ravishankar K. Iyer A Speculation-based Approach for Performance and Dependability Analysis: A Case Study. Search on Bibsonomy WSC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16José González 0002, Antonio González 0001 Limits of Instruction Level Parallelism with Data Value Speculation. Search on Bibsonomy VECPAR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Srilatha Manne, Artur Klauser, Dirk Grunwald Pipeline Gating: Speculation Control for Energy Reduction. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Deepak Kapur Rewriting, Decision Procedures and Lemma Speculation for Automated Hardware Verification. Search on Bibsonomy TPHOLs The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Gianfranco Bilardi, Alexandru Nicolau, Joe Hummel A Systematic Approach to Branch Speculation. Search on Bibsonomy LCPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tuomas Sandholm, Fredrik Ygge On the Gains and Losses of Speculation in Equilibrium Markets. Search on Bibsonomy IJCAI (1) The full citation details ... 1997 DBLP  BibTeX  RDF
16Jenn-Yuan Tsai, Pen-Chung Yew The superthreaded architecture: thread pipelining with run-time data dependence checking and control speculation. Search on Bibsonomy IEEE PACT The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16John Greiner, Guy E. Blelloch A Provably Time-Efficient Parallel Implementation of Full Speculation. Search on Bibsonomy POPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Zhiyuan Li 0001, Jenn-Yuan Tsai, Xin Wang, Pen-Chung Yew, Bess Zheng Compiler Techniques for Concurrent Multithreading with Hardware Speculation Support. Search on Bibsonomy LCPC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16David N. L. Levy Extrapolation and Speculation. Search on Bibsonomy J. Int. Comput. Games Assoc. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Azer Bestavros Using Speculation to Reduce Server Load and Service Time on the WWW. Search on Bibsonomy CIKM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Internet
16Tzu-Hsi Pan, Hyon-Sok Kay, Youngsun Chun, Chin-Long Wey High-radix SRT division with speculation of quotient digits . Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-radix SRT division, quotient digits, quotient-digit selection table, speculated quotient digit, quotient-digit correction, digital arithmetic, table lookup, look-up table
16Jordi Cortadella, Tomás Lang High-Radix Division and Square-Root with Speculation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Azer Bestavros, Spyridon Braoudakis Timeliness via Speculation for Real-Time Databases. Search on Bibsonomy RTSS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Mayan Moudgill Implementing and Exploiting Static Speculation on Multiple Instruction Issue Processors. Search on Bibsonomy 1994   RDF
16Mayan Moudgill, Keshav Pingali, Stamatis Vassiliadis Register renaming and dynamic speculation: an alternative approach. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Benjamin Yu Parallelism via Speculation in Pure Prolog. Search on Bibsonomy ICCI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16John R. Beaumont A Description of Structural Change in a Central Place System: A Speculation Using Q-Analysis. Search on Bibsonomy Int. J. Man Mach. Stud. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16Henry C. Lucas Jr. Some speculation on simulation and information systems. Search on Bibsonomy WSC The full citation details ... 1978 DBLP  BibTeX  RDF
16Peter M. Neely Implementation Independent Arithmetic: Speculation for Discussion. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
16Peter Kugel Digital to analog conversion: a speculation. Search on Bibsonomy SIGACT News The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
16Stephen Y. H. Su Speculation on the future of design automation. Search on Bibsonomy DAC The full citation details ... 1974 DBLP  BibTeX  RDF
15Zhichao Yan, Dan Feng 0001, Yujuan Tan TMTLS: Combine TM with TLS to Limit the Memory Contentions and Exploit the Parallelism in the Long-Running Transactions. Search on Bibsonomy NAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Parallel Programming, Transactional Memory, Thread Level Speculation
15Walter Yuan-Hwa Li, Chin-Ling Huang, Chung-Ping Chung Tolerating Load Miss-Latency by Extending Effective Instruction Window with Low Complexity. Search on Bibsonomy ICPP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Execute Ahead, Hardware Speculation, Instruction-Level Parallelism, Processor Architecture, Memory-Level Parallelism, Runahead Execution
15Jialu Huang, Arun Raman, Thomas B. Jablin, Yun Zhang 0005, Tzu-Han Hung, David I. August Decoupled software pipelining creates parallelization opportunities. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DSWP, enabling transformation, parallelization, multicore, speculation
15Liang Han, Wei Liu 0014, James Tuck 0001 Speculative parallelization of partial reduction variables. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reduction variables, parallelization, thread-level speculation, multi-core architecture
15Martin Süßkraut, Thomas Knauth, Stefan Weigert, Ute Schiffel, Martin Meinhold, Christof Fetzer Prospect: a compiler framework for speculative parallelization. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bounds checker, stack translation, parallelization, assertions, speculation
15Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Milind Girkar, Xinmin Tian, Hideki Saito 0001 On the exploitation of loop-level parallelism in embedded applications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multithreading, Multi-cores, vectorization, libraries, programming models, thread-level speculation, parallel loops, system-on-chip (Soc)
15Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
15Andrey Brito, Christof Fetzer, Pascal Felber Multithreading-Enabled Active Replication for Event Stream Processing Operators. Search on Bibsonomy SRDS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerance, distributed systems, parallel computing, speculation, event processing, active replication
15Diego R. Llanos Ferraris, David Orden, Belén Palop Just-In-Time Scheduling for Loop-based Speculative Parallelization. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF loop-based speculation, scheduling, speculative multithreading, Speculative parallelization
15Youngsik Kim, Nazanin Mansouri Automated formal verification of scheduling with speculative code motions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF formal verification, high level synthesis, automated theorem-proving, speculation
15Paul Congdon, Matthew K. Farrens, Prasant Mohapatra Packet prediction for speculative cut-through switching. Search on Bibsonomy ANCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cut-through, ethernet switching, packet prediction, speculation
15Jialin Dou, Marcelo H. Cintra A compiler cost model for speculative parallelization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thread-level speculation, speculative multithreading, Speculative parallelization
15Christoph von Praun, Luis Ceze, Calin Cascaval Implicit parallelism with ordered transactions. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ordered transactions, parallel programming, transactional memory, thread-level speculation, program parallelization, implicit parallelism
15Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Speculative thread decomposition through empirical optimization. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation
15John McHugh Quality of protection: measuring the unmeasurable? Search on Bibsonomy QoP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, quality, speculation
Displaying result #701 - #800 of 1035 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license