The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Dongsheng Yang 0002, Wei Deng 0001, Aravind Tharayil Narayanan, Kengo Nakata, Teerachot Siriburanon, Kenichi Okada, Akira Matsuzawa An automatic place-and-routed two-stage fractional-N injection-locked PLL using soft injection. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Lei Yang 0018, Weichen Liu, Weiwen Jiang, Mengquan Li, Juan Yi, Edwin Hsing-Mean Sha FoToNoC: A hierarchical management strategy based on folded lorus-like Network-on-Chip for dark silicon many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Huizhang Luo, Jingtong Hu, Liang Shi, Chun Jason Xue, Qingfeng Zhuge Peak-to-average pumping efficiency improvement for charge pump in Phase Change Memories. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Khondker Z. Ahmed, Monodeep Kar, Saibal Mukhopadhyay (Invited paper) energy delivery for self-powered IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Chien-Hsiung Chiou, Chin-Hao Chang, Szu-To Chen, Yao-Wen Chang Circular-contour-based obstacle-aware macro placement. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Fengxian Jiao, Sheqin Dong Ordered Escape routing for grid pin array based on Min-cost Multi-commodity Flow. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Cheng-Hung Wu, Saint James Lee, Kuen-Jong Lee Test and diagnosis pattern generation for dynamic bridging faults and transition delay faults. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli Majority-based synthesis for nanotechnologies. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Zhi-Wen Lin, Yao-Wen Chang Cut redistribution with directed self-assembly templates for advanced 1-D gridded layouts. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Daohang Shi, Edward Tashjian, Azadeh Davoodi Dynamic planning of local congestion from varying-size vias for global routing layer assignment. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Zelei Sun, Keith A. Campbell, Wei Zuo, Kyle Rupnow, Swathi T. Gurumani, Frederic Doucet, Deming Chen Designing high-quality hardware on a development effort budget: A study of the current state of high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25An-Tai Xiao, Yung-Siang Miao, Ching-Hwa Cheng, Jiun-In Guo A variable-voltage low-power technique for digital circuit system. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yidi Liu, Benjamin Carrión Schäfer Optimization of behavioral IPs in multi-processor system-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Kent W. Nixon, Xiang Chen 0010, Yiran Chen 0001 Footfall - GPS polling scheduler for power saving on wearable devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yibo Lin, Bei Yu 0001, Yi Zou, Zhuo Li 0001, Charles J. Alpert, David Z. Pan Stitch aware detailed placement for multiple e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Payman Behnam, Bijan Alizadeh, Sajjad Taheri, Masahiro Fujita Formally analyzing fault tolerance in datapath designs using equivalence checking. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yi Diao, Xing Wei, Tak-Kei Lam, Yu-Liang Wu Coupling reverse engineering and SAT to tackle NP-complete arithmetic circuitry verification in ∼O(# of gates). Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Bo Wang 0012, Man Kay Law, Saqib Mohamad, Amine Bermak A 2.2µW 15b incremental delta-sigma ADC with output-driven input segmentation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xinfei Guo, Mircea R. Stan Work hard, sleep well - Avoid irreversible IC wearout with proactive rejuvenation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Adam Kostrzewa, Selma Saidi, Leonardo Ecco, Rolf Ernst Dynamic admission control for real-time networks-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Fa Wang, Shihui Yin, Minhee Jun, Xin Li 0001, Tamal Mukherjee, Rohit Negi, Larry T. Pileggi Re-thinking polynomial optimization: Efficient programming of reconfigurable radio frequency (RF) systems by convexification. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Andrés Takach Design and verification using high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori Non-Volatile Non-Shadow flip-flop using Spin Orbit Torque for efficient normally-off computing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Ryan Berryhill, Andreas G. Veneris A complete approach to unreachable state diagnosability via property directed reachability. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Andrew Hennessy, Yu Zheng 0011, Swarup Bhunia JTAG-based robust PCB authentication for protection against counterfeiting attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Zana Ghaderi, Eli Bozorgzadeh Aging-aware high-level physical planning for reconfigurable systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xin Huang 0003, Valeriy Sukharev, Taeyoung Kim 0001, Hai-Bao Chen, Sheldon X.-D. Tan Electromigration recovery modeling and analysis under time-dependent current and temperature stressing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Jian Deng, Haotian Liu, Kim Batselier, Yu-Kwong Kwok, Ngai Wong STORM: A nonlinear model order reduction method via symmetric tensor decomposition. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Zhe Yuan, Yongpan Liu, Hehe Li, Huazhong Yang CP-FPGA: Computation data-aware software/hardware co-design for nonvolatile FPGAs based on checkpointing techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Kent W. Nixon, Xiang Chen 0010, Zhi-Hong Mao, Yiran Chen 0001 SlowMo - enhancing mobile gesture-based authentication schemes via sampling rate optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Seyed Nematollah Ahmadyan, Suriyaprakash Natarajan, Shobha Vasudevan Every test makes a difference: Compressing analog tests to decrease production costs. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Minkyu Song, Joseph Sankman, Jayeol Lee, Dongsheng Brian Ma A 200-MHz 4-phase fully integrated voltage regulator with local ground sensing dual loop ZDS hysteretic control using 6.5nH package bondwire inductors on 65nm bulk CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Samyoung Bang, Kwangsoo Han, Andrew B. Kahng, Mulong Luo Delay uncertainty and signal criticality driven routing channel optimization for advanced DRAM products. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Chi-Ruo Wu, Wei Wen, Tsung-Yi Ho, Yiran Chen 0001 Thermal optimization for memristor-based hybrid neuromorphic computing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Liang-Ying Lu, Ching-Yao Chang, Zhao-Hong Chen, Bo-Ting Yeh, Tai-Hua Lu, Peng-Yu Chen, Pin-Hao Tang, Kuen-Jong Lee, Lih-Yih Chiou, Soon-Jyh Chang, Chien-Hung Tsai, Chung-Ho Chen, Jai-Ming Lin A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Sandeep Chandran, Preeti Ranjan Panda, Deepak Chauhan, Sharad Kumar, Smruti R. Sarangi Extending trace history through tapered summaries in post-silicon validation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Xin He, Guihai Yan, Yinhe Han 0001, Xiaowei Li 0001 ACR: Enabling computation reuse for approximate computing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yung-Chun Lei, Chen-Shing Hsu, Juinn-Dar Huang, Jing-Yang Jou Chain-based pin count minimization for general-purpose digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Swagath Venkataramani, Kaushik Roy 0001, Anand Raghunathan Efficient embedded learning for IoT devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Dominik Erb, Karsten Scheibler, Michael A. Kochte, Matthias Sauer 0002, Hans-Joachim Wunderlich, Bernd Becker 0001 Mixed 01X-RSL-Encoding for fast and accurate ATPG with unknowns. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Santanu Sarma, Tiago Mück, Majid Shoushtari, Abbas BanaiyanMofrad, Nikil D. Dutt Cross-layer virtual/physical sensing and actuation for resilient heterogeneous many-core SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Hyoungseok Moon, Taewhan Kim Design and allocation of loosely coupled multi-bit flip-flops for power reduction in post-placement optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Mohammad Abdullah Al Faruque, Korosh Vatanparvar Modeling, analysis, and optimization of Electric Vehicle HVAC systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Yizi Gu, Yongpan Liu, Yiqun Wang, Hehe Li, Huazhong Yang NVPsim: A simulator for architecture explorations of nonvolatile processors. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Renhai Chen, Zili Shao, Chia-Lin Yang, Tao Li 0006 MCSSim: A memory channel storage simulator. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Wei-Ting Jonas Chan, Kun Young Chung, Andrew B. Kahng, Nancy D. MacDonald, Siddhartha Nath Learning-based prediction of embedded memory timing failures during initial floorplan design. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Ulf Schlichtmann, Masanori Hashimoto, Iris Hui-Ru Jiang, Bing Li 0005 Reliability, adaptability and flexibility in timing: Buy a life insurance for your circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Kuan Fan, Ming-Jen Yang, Chung-Yang Huang Automatic abstraction refinement of TR for PDR. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25 The 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba, Japan, January 19-22, 2015 Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  BibTeX  RDF
25Ta-Yang Huang, Chia-Jui Chang, Chung-Wei Lin, Sudip Roy 0001, Tsung-Yi Ho Intra-vehicle network routing algorithm for wiring weight and wireless transmit power minimization. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Nima Aghaee, Zebo Peng, Petru Eles An integrated temperature-cycling acceleration and test technique for 3D stacked ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Minjie Lv, Hongbin Sun 0001, Jingmin Xin, Nanning Zheng 0001 Logic-DRAM co-design to efficiently repair stacked DRAM with unused spares. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Aadithya V. Karthik, Sayak Ray, Jaijeet Roychowdhury BEE: Predicting realistic worst case and stochastic eye diagrams by accounting for correlated bitstreams and coding strategies. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Bei Yu 0001, David Z. Pan, Tetsuaki Matsunawa, Xuan Zeng 0001 Machine learning and pattern matching in physical design. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yukihide Kohira, Tomomi Matsui, Yoko Yokoyama, Chikaaki Kodama, Atsushi Takahashi 0001, Shigeki Nojima, Satoshi Tanaka Fast mask assignment using positive semidefinite relaxation in LELECUT triple patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Peng Wang, Sheng Ma, Hongyi Lu, Zhiying Wang 0003, Chen Li 0015 Adaptive remaining hop count flow control: Consider the interaction between packets. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Wulong Liu, Guoqing Chen, Yu Wang 0002, Huazhong Yang Modeling and optimization of low power resonant clock mesh. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shengcheng Wang, Farshad Firouzi, Fabian Oboril, Mehdi Baradaran Tahoori Stress-aware P/G TSV planning in 3D-ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Junki Hashiba, Toru Kawajiri, Yuya Hasegawa, Hiroki Ishikuro Dual-output wireless power delivery system for small size large volume wireless memory card. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Lian Zeng, Takahiro Watanabe A performance enhanced dual-switch Network-on-Chip architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Lingxiao Wei, Jie Zhang 0046, Feng Yuan, Yannan Liu, Junfeng Fan, Qiang Xu 0001 Vulnerability analysis for crypto devices against probing attack. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zipeng Li, Tsung-Yi Ho, Krishnendu Chakrabarty Design and optimization of 3D digital microfluidic biochips for the polymerase chain reaction. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zih-Ci Huang, Chi-Kang Chen, Ren-Song Tsay AROMA: A highly accurate microcomponent-based approach for embedded processor power analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mimi Xie, Chen Pan, Jingtong Hu, Chengmo Yang, Yiran Chen 0001 Checkpoint-aware instruction scheduling for nonvolatile processor with multiple functional units. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yu-Wei Wu, Yiyu Shi 0001, Sudip Roy 0001, Tsung-Yi Ho Obstacle-avoiding wind turbine placement for power-loss and wake-effect optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mojtaba Ebrahimi, Razi Seyyedi, Liang Chen 0014, Mehdi Baradaran Tahoori Event-driven transient error propagation: A scalable and accurate soft error rate estimation approach. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ji Li 0006, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zigang Xiao, Yuelin Du, Martin D. F. Wong, He Yi, H.-S. Philip Wong, Hongbo Zhang 0001 Contact pitch and location prediction for Directed Self-Assembly template verification. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Soroush Khaleghi, Kai Da Zhao, Wenjing Rao IC Piracy prevention via Design Withholding and Entanglement. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Nau Ozaki, Masato Uchiyama, Yasuki Tanabe, Shuichi Miyazaki, Takaaki Sawada, Takanori Tamai, Moriyasu Banno Implementation and evaluation of image recognition algorithm for an intelligent vehicle using heterogeneous multi-core SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Sixing Lu, Minjun Seo, Roman Lysecky Timing-based anomaly detection in embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hsiao-Wei Chien, Jyun-Long Lai, Chao-Chieh Wu, Chih-Tsun Huang, Ting-Shuo Hsu, Jing-Jia Liou Design of a scalable many-core processor for embedded applications. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Volker Meyer zu Bexten, Markus Tristl, Göran Jerke, Hartmut Marquardt, Dina Medhat Physical verification flow for hierarchical analog ic design constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jiaxing Zhang, Sanyuan Tang, Gunar Schirner Reducing Dynamic Dispatch Overhead (DDO) of SLDL-synthesized embedded software. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Daifeng Guo, Yuelin Du, Martin D. F. Wong Polynomial time optimal algorithm for stencil row planning in e-beam lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yozaburo Nakai, Shintaro Izumi, Ken Yamashita, Masanao Nakano, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 14µA ECG processor with noise tolerant heart rate extractor and FeRAM for wearable healthcare systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shao-Yi Chien, Wei-Kai Chan, Yu-Hsiang Tseng, Chia-Han Lee, V. Srinivasa Somayazulu, Yen-Kuang Chen Distributed computing in IoT: System-on-a-chip for smart cameras as an example. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25James Williamson, Qi Liu 0052, Fenglong Lu, Wyatt Mohrman, Kun Li, Robert P. Dick, Li Shang Data sensing and analysis: Challenges for wearables. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Guantao Liu, Tim Schmidt, Rainer Dömer, Ajit Dingankar, Desmond Kirkpatrick Optimizing thread-to-core mapping on manycore platforms with distributed Tag Directories. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Robert Wille, Oliver Keszöcze, Clemens Hopfmuller, Rolf Drechsler Reverse BDD-based synthesis for splitter-free optical circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yusuke Matsunaga Accelerating SAT-based Boolean matching for heterogeneous FPGAs using one-hot encoding and CEGAR technique. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Takeshi Soga, Hiroshi Sasaki 0001, Tomoya Hirao, Masaaki Kondo, Koji Inoue A flexible hardware barrier mechanism for many-core processors. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mitsuo Ikeda H.265/HEVC encoder for UHDTV. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Aaron Lye, Robert Wille, Rolf Drechsler Determining the minimal number of swap gates for multi-dimensional nearest neighbor quantum circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Biao Hu 0001, Kai Huang 0001, Gang Chen 0023, Alois C. Knoll Evaluation of runtime monitoring methods for real-time event streams. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Takeshi Kumakura 8K LCD: Technologies and challenges toward the realization of SUPER Hi-VISION TV. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hsuan-Ming Chou, Hong-Chang Wu, Yi-Chiao Chen, Jean Tsao, Shih-Chieh Chang Hybrid coverage assertions for efficient coverage analysis across simulation and emulation environments. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Alireza Shafaei, Shuang Chen 0001, Yanzhi Wang, Massoud Pedram A cross-layer framework for designing and optimizing deeply-scaled FinFET-based SRAM cells under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xiaowei Ren, Qihang Yu, Badong Chen, Nanning Zheng 0001, Pengju Ren A 128-way FPGA platform for the acceleration of KLMS algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xiaoxiao Liu 0001, Mengjie Mao, Xiuyuan Bi, Hai Li 0001, Yiran Chen 0001 An efficient STT-RAM-based register file in GPU architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Li-Chung Hsu, Yasuhiro Take, Atsutake Kosuge, So Hasegawa, Junichiro Kadamoto, Tadahiro Kuroda Design and analysis for ThruChip design for manufacturing (DFM). Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Teerachot Siriburanon, Tomohiro Ueno, Kento Kimura, Satoshi Kondo, Wei Deng 0001, Kenichi Okada, Akira Matsuzawa A 58.3-to-65.4 GHz 34.2 mW sub-harmonically injection-locked PLL with a sub-sampling phase detection. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Chau-Chin Huang, Chien-Hsiung Chiou, Kai-Han Tseng, Yao-Wen Chang Detailed-Routing-Driven analytical standard-cell placement. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hai-Bao Chen, Sheldon X.-D. Tan, Xin Huang 0003, Valeriy Sukharev New electromigration modeling and analysis considering time-varying temperature and current densities. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Matthias Kauer, Swaminathan Narayanaswamy, Sebastian Steinhorst, Martin Lukasiewycz, Samarjit Chakraborty Many-to-many active cell balancing strategy design. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jinfeng Kang, Haitong Li, Peng Huang 0004, Zhe Chen, Bin Gao 0006, Xiaoyan Liu, Zizhen Jiang, H.-S. Philip Wong Modeling and design optimization of ReRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yen-Lung Chen, Wei Wu, Chien-Nan Jimmy Liu, Lei He 0001 Incremental Latin hypercube sampling for lifetime stochastic behavioral modeling of analog circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Liang-Che Li, Wen-Hsuan Hsu, Kuen-Jong Lee, Chun-Lung Hsu An efficient 3D-IC on-chip test framework to embed TSV testing in memory BIST. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Subhendu Roy, Mihir R. Choudhury, Ruchir Puri, David Z. Pan Polynomial time algorithm for area and power efficient adder synthesis in high-performance designs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Akira Okada, Abdul Raziz Junaidi, Yasuhiro Take, Atsutake Kosuge, Tadahiro Kuroda Circuit and package design for 44GB/s inductive-coupling DRAM/SoC interface. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license