The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yujie Wang, Pu Chen, Jiang Hu, Jeyavijayan (JV) Rajendran Routing perturbation for enhanced security in split manufacturing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Masayuki Ikebe, Tetsuya Asai, Masafumi Mori, Toshiyuki Itou, Daisuke Uchida, Yasuhiro Take, Tadahiro Kuroda, Masato Motomura An image sensor/processor 3D stacked module featuring ThruChip interfaces. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mingze Gao, Qian Wang 0022, Akshaya S. Kankanhalli-Nagendra, Gang Qu 0001 A novel data format for approximate arithmetic computing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ye Zhang 0011, Wai-Shing Luk, Fan Yang 0001, Changhao Yan, Hai Zhou 0001, Dian Zhou, Xuan Zeng 0001 Network flow based cut redistribution and insertion for advanced 1D layout design. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei-Hsun Liao, Chang-Tzu Lin, Sheng-Hsin Fang, Chien-Chia Huang, Hung-Ming Chen, Ding-Ming Kwai, Yung-Fa Chou Heterogeneous chip power delivery modeling and co-synthesis for practical 3DIC realization. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1M. Hassan Najafi, David J. Lilja High-speed stochastic circuits using synchronous analog pulses. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yen-Ting Chen, Ming-Chang Yang, Yuan-Hao Chang 0001, Tseng-Yi Chen, Hsin-Wen Wei, Wei-Kuan Shih KVFTL: Optimization of storage space utilization for key-value-specific flash storage devices. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Oliver Keszöcze, Zipeng Li, Andreas Grimmer, Robert Wille, Krishnendu Chakrabarty, Rolf Drechsler Exact routing for micro-electrode-dot-array digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiromitsu Awano, Masayuki Hiromoto, Takashi Sato Efficient circuit failure probability calculation along product lifetime considering device aging. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kent Gauen, Rohit Rangan, Anup Mohan, Yung-Hsiang Lu, Wei Liu 0015, Alexander C. Berg Low-power image recognition challenge. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Winston Haaswijk, Mathias Soeken, Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Giovanni De Micheli A novel basis for logic rewriting. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiroki Asano, Tetsuya Hirose, Taro Miyoshi, Keishi Tsubaki, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa Sub-1-μs start-up time, 32-MHz relaxation oscillator for low-power intermittent VLSI systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuki Watanabe, Hayato Narita, Hiroyuki Tsuchiya, Tatsuji Matsuura, Hao San, Masao Hotta A 14bit 80kSPS non-binary cyclic ADC without high accuracy analog components. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dimitrios Tychalas, Nektarios Georgios Tsoutsos, Michail Maniatakos SGXCrypter: IP protection for portable executables using Intel's SGX technology. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chuhan Min, Jie Guo 0002, Hai Li 0001, Yiran Chen 0001 Extending the lifetime of object-based NAND flash device with STT-RAM/DRAM hybrid buffer. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Meng Liu 0001, Matthias Becker 0004, Moris Behnam, Thomas Nolte Using segmentation to improve schedulability of RRA-based NoCs with mixed traffic. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Caiwen Ding, Ji Li 0006, Weiwei Zheng, Naehyuck Chang, Xue Lin, Yanzhi Wang Algorithm accelerations for luminescent solar concentrator-enhanced reconfigurable onboard photovoltaic system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Cunxi Yu, Maciej J. Ciesielski Efficient parallel verification of Galois field multipliers. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Szu-Yuan Han, Wen-Hao Liu, Rickard Ewetz, Cheng-Kok Koh, Kai-Yuan Chao, Ting-Chi Wang Delay-driven layer assignment for advanced technology nodes. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1K. T. Tim Cheng ASP-DAC 2017 keynote speech I-1: Heterogeneous integration of X-tronics: Design automation and education. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ching-Wei Hsieh, Zipeng Li, Tsung-Yi Ho Piracy prevention of digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lin Cheng 0001, Wing-Hung Ki, Tak-Sang Yim A 13.56 MHz on/off delay-compensated fully-integrated active rectifier for biomedical wireless power transfer systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zuomin Zhu, Vivek Chaturvedi, Amit Kumar Singh 0002, Wei Zhang 0012, Yingnan Cui Two-stage thermal-aware scheduling of task graphs on 3D multi-cores exploiting application and architecture characteristics. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Archit Gupta, Tianshi Wang, Ahmet Mahmutoglu Gokcen, Jaijeet Roychowdhury STEAM: Spline-based tables for efficient and accurate device modelling. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Li-Pin Chang, Chia-Hsiang Cheng, Kai-Hsiang Lin A flash scheduling strategy for current capping in multi-power-mode SSDs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Marta Ortín-Obón, Luca Ramini, Víctor Viñals Yúfera, Davide Bertozzi A tool for synthesizing power-efficient and custom-tailored wavelength-routed optical rings. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Korkut Kaan Tokgoz, Shotaro Maki, Seitarou Kawai, Noriaki Nagashima, Yoichi Kawano, Toshihide Suzuki, Taisuke Iwai, Kenichi Okada, Akira Matsuzawa W-band ultra-high data-rate 65nm CMOS wireless transceiver. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ho Hyun Shin, Hyeokjun Seo, Byunghoon Lee, Jeongbin Kim 0001, Eui-Young Chung Timing window wiper: A new scheme for reducing refresh power of DRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiroyuki Tsuchiya, Asato Uchiyama, Yuta Misima, Yuki Watanabe, Tatsuji Matsuura, Hao San, Masao Hotta Non-binary cyclic ADC with correlated level shifting technique. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yibin Tang, Ying Wang 0001, Huawei Li 0001, Xiaowei Li 0001 ApproxPIM: Exploiting realistic 3D-stacked DRAM for energy-efficient processing in-memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jan Malburg, Tino Flenker, Görschwin Fey Property mining using dynamic dependency graphs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yi Wang 0003, Mingxu Zhang, Jing Yang 0018 Temperature-aware data allocation strategy for 3D charge-trap flash memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lei Yang 0018, Weichen Liu, Nan Guan, Mengquan Li, Peng Chen 0027, Edwin Hsing-Mean Sha Dark silicon-aware hardware-software collaborated design for heterogeneous many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tim Schmidt, Guantao Liu, Rainer Dömer Hybrid analysis of SystemC models for fast and accurate parallel simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kun-Lin Lin, Shao-Yun Fang Guiding template-aware routing considering redundant via insertion for directed self-assembly. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Atsuki Kobayashi, Kei Ikeda, Yudai Ogawa, Matsuhiko Nishizawa, Kazuo Nakazato, Kiichi Niitsu Design of an energy-autonomous bio-sensing system using a biofuel cell and 0.19V 53μW integrated supply-sensing sensor with a supply-insensitive temperature sensor and inductive-coupling transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Katsumi Okuda, Minoru Yoshida, Haruhiko Takeyama, Minoru Nakamura Automated generation of dynamic binary translators for instruction set simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ji Li 0006, Ao Ren, Zhe Li 0001, Caiwen Ding, Bo Yuan 0001, Qinru Qiu, Yanzhi Wang Towards acceleration of deep convolutional neural networks using stochastic computing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dongsheng Yang 0002, Wei Deng 0001, Bangan Liu, Aravind Tharayil Narayanan, Teerachot Siriburanon, Kenichi Okada, Akira Matsuzawa An HDL-synthesized injection-locked PLL using LC-based DCO for on-chip clock generation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yijin Guan, Zhihang Yuan, Guangyu Sun 0003, Jason Cong FPGA-based accelerator for long short-term memory recurrent neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yosuke Ishikawa, Sho Ikeda, Hiroyuki Ito, Akifumi Kasamatsu, Takayoshi Obara, Naoki Noguchi, Koji Kamisuki, Yao Jiyang, Shinsuke Hara, Ruibing Dong, Shiro Dosho, Noboru Ishihara, Kazuya Masu Design of high-frequency piezoelectric resonator-based cascaded fractional-N PLL with sub-ppb-order channel adjusting technique. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Heinz Riener, Rüdiger Ehlers, Görschwin Fey CEGAR-based EF synthesis of Boolean functions with an application to circuit rectification. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aosen Wang, Chi Zhou 0004, Zhanpeng Jin, Wenyao Xu Towards scalable and efficient GPU-enabled slicing acceleration in continuous 3D printing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yandan Wang, Wei Wen, Linghao Song, Hai Helen Li Classification accuracy improvement for neuromorphic computing systems with one-level precision synapses. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yoichi Tomioka, Tetsuaki Matsunawa, Chikaaki Kodama, Shigeki Nojima Lithography hotspot detection by two-stage cascade classifier using histogram of oriented light propagation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Fan Lin, Kwang-Ting Cheng An artificial neural network approach for screening test escapes. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Varsha Agarwal, Ananya Singla, Mahammad Samiuddin, Sudip Roy 0001, Tsung-Yi Ho, Indranil Sengupta 0001, Bhargab B. Bhattacharya Reservoir and mixer constrained scheduling for sample preparation on digital microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Fan Lan, Rui Wu 0008, Chong Zhang, Yun Pan, Kwang-Ting (Tim) Cheng DLPS: Dynamic laser power scaling for optical Network-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yang You, Jie Gu Exploiting accelerated aging effect for on-line configurability and hardware tracking. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Parit Kanjanavirojkul, Nguyen Ngoc Mai Khanh, Tetsuya Iizuka, Toru Nakura, Kunihiro Asada CMOS-on-quartz pulse generator for low power applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Cheng Wang, Ying Wang 0001, Yinhe Han 0001, Lili Song, Zhenyu Quan, Jiajun Li, Xiaowei Li 0001 CNN-based object detection solutions for embedded heterogeneous multicore SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyeon Uk Sim, Dong Nguyen 0001, Jongeun Lee, Kiyoung Choi Scalable stochastic-computing accelerator for convolutional neural networks. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 22nd Asia and South Pacific Design Automation Conference, ASP-DAC 2017, Chiba, Japan, January 16-19, 2017 Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  BibTeX  RDF
1Kaisheng Ma, Xueqing Li, Srivatsa Rangachar Srinivasa, Yongpan Liu, John Sampson, Yuan Xie 0001, Vijaykrishnan Narayanan Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Guan-Ruei Lu, Guan-Ming Huang, Ansuman Banerjee, Bhargab B. Bhattacharya, Tsung-Yi Ho, Hung-Ming Chen On reliability hardening in cyber-physical digital-microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yi Lin, Po-Chun Huang, Duo Liu, Liang Liang 0002 Scalable frequent-pattern mining on nonvolatile memories. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chun-Che Chung, Yung-Chih Chen, Chun-Yao Wang, Chia-Cheng Wu Majority logic circuits optimisation by node merging. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lin Cheng 0001, Wing-Hung Ki, Chi-Ying Tsui A wireless power receiver with a 3-level reconfigurable resonant regulating rectifier for mobile-charging applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra, Deming Chen ASP-DAC 2017 keynote speech I: In memory of Edward J. McCluskey: The next wave of pioneering innovations. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiaokun Yang, Wujie Wen Design of a pre-scheduled data bus for advanced encryption standard encrypted system-on-chips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhongming Chen, Kim Batselier, Haotian Liu, Ngai Wong An efficient homotopy-based Poincaré-Lindstedt method for the periodic steady-state analysis of nonlinear autonomous oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mio Tsukahara, Shintaro Izumi, Motofumi Nakanishi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto, Hiromitsu Kimura, Kyoji Marumoto, Takaaki Fuchikami, Yoshikazu Fujimori A 19-μA metabolic equivalents monitoring SoC using adaptive sampling. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chung-Yu Wu, Cheng-Hsiang Cheng, Yi-Huan Ou-Yang, Chiung-Ghu Chen, Wei-Ming Chen, Ming-Dou Ker, Chen-Yi Lee, Sheng-Fu Liang, Fu-Zen Shaw Design considerations and clinical applications of closed-loop neural disorder control SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xiao Yang, Hongbo Zhu, Toru Nakura, Tetsuya Iizuka, Kunihiro Asada A 15 × 15 SPAD array sensor with breakdown-pixel-extraction architecture for efficient data readout. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hussam Amrouch, Jörg Henkel Containing guardbands. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1John Adler, Ryan Berryhill, Andreas G. Veneris An extensible perceptron framework for revision RTL debug automation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xuechao Wei, Yun Liang 0001, Tao Wang 0004, Songwu Lu, Jason Cong Throughput optimization for streaming applications on CPU-FPGA heterogeneous systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu-Guang Chen, Michihiro Shintani, Takashi Sato, Yiyu Shi 0001, Shih-Chieh Chang Pattern based runtime voltage emergency prediction: An instruction-aware block sparse compressed sensing approach. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kuo-Kai Hsieh, Sebastian Siatkowski, Li-C. Wang, Wen Chen 0016, Jayanta Bhadra Feature extraction from design documents to enable rule learning for improving assertion coverage. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Md Tanvir Arafin, Mingze Gao, Gang Qu 0001 VOLtA: Voltage over-scaling based lightweight authentication for IoT applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jun Ohta Smart electrode - toward a retinal stimulator with the large number of electrodes. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tianqi Tang 0001, Lixue Xia, Boxun Li, Yu Wang 0002, Huazhong Yang Binary convolutional neural network on RRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kristof Blutman, Hamed Fatemi, Andrew B. Kahng, Ajay Kapoor, Jiajia Li 0002, José Pineda de Gyvez Floorplan and placement methodology for improved energy reduction in stacked power-domain design. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Biruk Mammo, Doowon Lee, Harrison Davis, Yijun Hou, Valeria Bertacco AGARSoC: Automated test and coverage-model generation for verification of accelerator-rich SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yi Wu, Chuyu Shen, Yi Jia, Weikang Qian Approximate logic synthesis for FPGA by wire removal and local function change. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chenchen Liu, Qing Yang 0011, Chi Zhang, Hao Jiang 0014, Qing Wu 0002, Hai Helen Li A memristor-based neuromorphic engine with a current sensing scheme for artificial neural network applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ao Ren, Sijia Liu 0001, Ruizhe Cai, Wujie Wen, Pramod K. Varshney, Yanzhi Wang Algorithm-hardware co-optimization of the memristor-based framework for solving SOCP and homogeneous QCQP problems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiangyuan Gu, Shouyi Yin, Leibo Liu, Shaojun Wei Energy-aware loops mapping on multi-vdd CGRAs without performance degradation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kenneth Schmitz, Arun Chandrasekharan, Jonas Gomes Filho, Daniel Große, Rolf Drechsler Trust is good, control is better: Hardware-based instruction-replacement for reliable processor-IPs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nicole Fern, Ismail San, Kwang-Ting (Tim) Cheng Detecting hardware Trojans in unspecified functionality through solving satisfiability problems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata An FPGA-compatible PLL-based sensor against fault injection attack. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Luca Gaetano Amarù, Mathias Soeken, Winston Haaswijk, Eleonora Testa, Patrick Vuillod, Jiong Luo, Pierre-Emmanuel Gaillardon, Giovanni De Micheli Multi-level logic benchmarks: An exactness study. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Meng Liu 0001, Matthias Becker 0004, Moris Behnam, Thomas Nolte A tighter recursive calculus to compute the worst case traversal time of real-time traffic over NoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jingyang Zhu, Zhiliang Qian, Chi-Ying Tsui BHNN: A memory-efficient accelerator for compressing deep neural networks with blocked hashing techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kohei Gamo, Kazuo Nakazato, Kiichi Niitsu A current-integration-based CMOS amperometric sensor with 1.2 μm × 2.05 μm electroless-plated microelectrode array for high-sensitivity bacteria counting. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zihao Liu, Wujie Wen, Lei Jiang 0001, Yier Jin, Gang Quan A statistical STT-RAM retention model for fast memory subsystem designs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chia-Ling Chen, Yen-Hao Chen, TingTing Hwang Communication driven remapping of processing element (PE) in fault-tolerant NoC-based MPSoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qin Wang 0005, Shiliang Zuo, Hailong Yao, Tsung-Yi Ho, Bing Li 0005, Ulf Schlichtmann, Yici Cai Hamming-distance-based valve-switching optimization for control-layer multiplexing in flow-based microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gustavo K. Contreras, Adib Nahiyan, Swarup Bhunia, Domenic Forte, Mark M. Tehranipoor Security vulnerability analysis of design-for-test exploits for asset protection in SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tzu-Hsuan Huang, Wei-Tse Hung, Hao-Yu Yang, Wen-Hsiang Chang, Ying-Yen Chen, Chun-Yi Kuo, Jih-Nung Lee, Mango C.-T. Chao Predicting Vt variation and static IR drop of ring oscillators using model-fitting techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arvind Easwaran, Anupam Chattopadhyay, Shivam Bhasin A systematic security analysis of real-time cyber-physical systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tuotian Liao, Lihong Zhang Parasitic-aware GP-based many-objective sizing methodology for analog and RF integrated circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hui Zhang, Bo Wang A time domain behavioral model for oscillators considering flicker noise. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Francesco Regazzoni 0001, Ilia Polian Securing the hardware of cyber-physical systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hiroto Yasuura ASP-DAC 2017 keynote speech I-3: Design of society: Beyond digital system design. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Liu Liu 0017, Ping Chi, Shuangchen Li, Yuanqing Cheng, Yuan Xie 0001 Building energy-efficient multi-level cell STT-RAM caches with data compression. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dharanidhar Dang, Sai Vineel Reddy Chittamuru, Rabi N. Mahapatra, Sudeep Pasricha Islands of heaters: A novel thermal management framework for photonic NoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yangguo Liu, Junlin Lu, Dong Tong 0001, Xu Cheng 0001 Locality-aware bank partitioning for shared DRAM MPSoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sujit Rokka Chhetri, Jiang Wan, Mohammad Abdullah Al Faruque Cross-domain security of cyber-physical systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Robert Karam, Tamzidul Hoque, Sandip Ray, Mark M. Tehranipoor, Swarup Bhunia MUTARCH: Architectural diversity for FPGA device and IP security. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license