The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Julie Ann Jackson, Forest Lee-Elkin Exploiting Channel Crosstalk for Polarimetric SAR Compressive Sensing. Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Souvaraj De, Ranjan Das, Ravi K. Varshney, Thomas Schneider 0010 Design and Simulation of Thermo-Optic Phase Shifters With Low Thermal Crosstalk for Dense Photonic Integration. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Chao Huang 0021, Yang Zhao, Wei Yan, Qiangqiang Liu, Jianming Zhou A New Method for Predicting Crosstalk of Random Cable Bundle Based on BAS-BP Neural Network Algorithm. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Yangyishi Zhang, Rong Zhang 0001, Jian-Kang Zhang 0001, Tong Bai, Anas F. Al Rawi, Marc Moonen, Lajos Hanzo Far-End Crosstalk Mitigation for Future Wireline Networks Beyond G.mgfast: A Survey and an Outlook. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Huanlin Liu, Qile Xiong, Yong Chen 0007 Routing Core and Spectrum Allocation Algorithm for Inter-Core Crosstalk and Energy Efficiency in Space Division Multiplexing Elastic Optical Networks. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Smita Paira, Joy Halder, Monish Chatterjee, Uma Bhattacharya On Energy Efficient Survivable Multipath Based Approaches in Space Division Multiplexing Elastic Optical Network: Crosstalk-Aware and Fragmentation-Aware. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Xuanlyu Wu, Haider Zaman, Panpan Wu, Rongyou Jia, Xin Zhao, Xiaohua Wu A Quasi-Multilevel Gate Driver for Fast Switching and Crosstalk Suppression of SiC Devices. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Tao Liang, Xinglong Wu, Flavia Grassi, Giordano Spadacini, Sergio Amedeo Pignari Crosstalk-Based Test Setup Reproducing Radiated Susceptibility Effects in Wire Bundles. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Qiangqiang Liu, Yang Zhao, Wei Yan, Chao Huang 0021, Abdul Mueed, Zhaojuan Meng A Novel Crosstalk Estimation Method for Twist Non-Uniformity in Twisted-Wire Pairs. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Madiha Gul, Mohamed F. Chouikha, Mamadou I. Wade Joint Crosstalk Aware Burst Error Fault Tolerance Mechanism for Reliable on-Chip Communication. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Yuto Sagae, Takashi Matsui, Taiji Sakamoto, Kazuhide Nakajima Ultra-Low Crosstalk Multi-Core Fiber with Standard 125-μm Cladding Diameter for 10, 000km-Class Long-Haul Transmission. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Shambo Roy Chowdhury, Amol P. Bhondekar, Ritesh Kumar, Sudeshna Bagchi, Rishemjit Kaur, Vinod Karar Analysis of a Novel Circuit Arrangement to Suppress Crosstalk in 2-D Resistive Sensor Arrays. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Qingchen Zhang, Weijian Mao, Jinwei Fang Elastic Full Waveform Inversion With Source-Independent Crosstalk-Free Source-Encoding Algorithm. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Khokan Mondal, Subhajit Das 0001, Tuhina Samanta Rectilinear routing algorithm for crosstalk minimisation in 2D and 3D IC. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Antoine Langevin, Ghyslain Gagnon, Mohamed Cheriet Crosstalk Suppression in Semi-Intrusive Load Monitoring Systems Using Hall Effect Sensors. Search on Bibsonomy IEEE Trans. Smart Grid The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Satoru Emura, Hiroshi Sawada, Shoko Araki, Noboru Harada Multi-Delay Sparse Approach to Residual Crosstalk Reduction for Blind Source Separation. Search on Bibsonomy IEEE Signal Process. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Mohammed Benabderrahmane, Ronan Bureau, Anne Sophie Voisin-Chiret, Jana Sopkova-de Oliveira Santos Insights into Mcl-1 Conformational States and Allosteric Inhibition Mechanism from Molecular Dynamics Simulations, Enhanced Sampling, and Pocket Crosstalk Analysis. Search on Bibsonomy J. Chem. Inf. Model. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Soheil Hosseini, Akbar Ghaffarpour Rahbar, Mohammad Jafari-Beyrami Survivable time-aware traffic grooming in spatial division multiplexing elastic optical networks with minimized crosstalk. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Qingchen Zhang, Weijian Mao, Jinwei Fang Crosstalk-free simultaneous-source full waveform inversion with normalized seismic data. Search on Bibsonomy Comput. Geosci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Ch. Praveen Kumar, E. Sreenivasa Rao, P. Chandra Sekhar Novel Approach to Analyze Crosstalk for a Multi-Line Bus System at 32-nm Technology. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Piratla Uma Sathyakam, Partha Sharathi Mallick, Paridhi Singh Geometry-Based Crosstalk Reduction in CNT Interconnects. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Tulasi Naga Jyothi Kolanti, Vasundhara Patel Kerehalli Shankar Rao Crosstalk noise analysis in ternary logic multilayer graphene nanoribbon interconnects using shielding techniques. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Badugu Divya Madhuri, Subramani Sunithamani Crosstalk reduction in copper on-chip interconnects with graphene barrier for ternary logic applications. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Seyed Mohammad Seyedzadeh, Donald Kline Jr., Alex K. Jones, Rami G. Melhem Sustainable disturbance crosstalk mitigation in deeply scaled phase-change memory. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Baojun Liu, Li Cai, Xiaoqiang Liu An Analytic Model for Predicting Single Event (SE) Crosstalk of Nanometer CMOS Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Naveen Kumar Macha, Md Arif Iqbal, Bhavana Tejaswini Repalle, Sehtab Hossain, Mostafizur Rahman Crosstalk Noise based Configurable Computing: A New Paradigm for Digital Electronics. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
12Prakash Murali, David C. McKay, Margaret Martonosi, Ali Javadi-Abhari Software Mitigation of Crosstalk on Noisy Intermediate-Scale Quantum Computers. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
12Praveen Jaraut, Meenakshi Rawat, Fadhel M. Ghannouchi Efficient linearisation technique for crosstalk and power amplifier non-linearity suitable for massive MIMO transmitters. Search on Bibsonomy IET Commun. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Khajamoinuddin Syed, Ahmed Abdelzaher 0001, Michael L. Mayo, Preetam Ghosh Correction to: Similar Feed-forward Loop Crosstalk Patterns may Impact Robust Information Transport Across E. coli and S. Cerevisiae Transcriptional Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Khajamoinuddin Syed, Ahmed Abdelzaher 0001, Michael L. Mayo, Preetam Ghosh Similar Feed-forward Loop Crosstalk Patterns may Impact Robust Information Transport Across E. coli and S. Cerevisiae Transcriptional Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Faezeh Yousefi, Akbar Ghaffarpour Rahbar Novel crosstalk, fragmentation-aware algorithms in space division multiplexed- Elastic Optical Networks (SDM-EON) with considering physical layer security. Search on Bibsonomy Opt. Switch. Netw. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Mohan Sarovar, Timothy Proctor, Kenneth Rudinger, Kevin C. Young, Erik Nielsen, Robin Blume-Kohout Detecting crosstalk errors in quantum information processors. Search on Bibsonomy Quantum The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Yuvraj Sharma, Surya Kant Verma, Lal Krishan Kumar, Gangu Naidu Surla, Gowdar V. Vedamurthy, Dheer Singh, Suneel Kumar Onteru Apolipoprotein A1 and Ceruloplasmin, the key crosstalk players between the liver and adipose tissue during early postpartum of buffaloes: An in-Silico transcriptome based network analysis. Search on Bibsonomy Comput. Biol. Medicine The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Peter Händel, Özlem Tugfe Demir, Emil Björnson, Daniel Rönnow Impact of Backward Crosstalk in 2 × 2 MIMO Transmitters on NMSE and Spectral Efficiency. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Ying Dai, Syed Zeeshan Ali, Richard Hopper, Claudio Falco, Prakash Pandey, Chris Oxley, Daniel Popa, Florin Udrea Crosstalk Analysis of a CMOS Single Membrane Thermopile Detector Array. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Hongxu Kao, Jianshe Ma, Chengchen Wang, Taihui Wu, Ping Su Crosstalk-Reduced Double-Layer Half-Divided Volume Holographic Concentrator for Solar Energy Concentration. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Wendong Xue, Mengjiao Yang, Deming Hong, Di Wu, Yishou Wang, Xinlin Qing The Design and Verification of an Active SAMSR Ultrasonic Guided Wave Monitoring System with Ultra-Low Crosstalk. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Makoto Sakai, Raj Kumar Parajuli, Yoshiki Kubota, Nobuteru Kubo, Mitsutaka Yamaguchi, Yuto Nagao, Naoki Kawachi, Mikiko Kikuchi, Kazuo Arakawa, Mutsumi Tashiro Crosstalk Reduction Using a Dual Energy Window Scatter Correction in Compton Imaging. Search on Bibsonomy Sensors The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Abhishek Mallela, Maulik K. Nariya, Eric J. Deeds Crosstalk and ultrasensitivity in protein degradation pathways. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Ali Khalilimeybodi, Alexander M. Paap, Steven L. M. Christiansen, Jeffrey J. Saucerman Context-specific network modeling identifies new crosstalk in β-adrenergic cardiac hypertrophy. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Rok Grah, Tamar Friedlander The relation between crosstalk and gene regulation form revisited. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Fabian Konrath, Anna Mittermeier, Elena Cristiano, Jana Wolf, Alexander Loewer A systematic approach to decipher crosstalk in the p53 signaling pathway using single cell dynamics. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Apichat Terapasirdsin, Supaporn Kiattisin Crosstalk-Aware Global Routing in VLSI Design by Using a Shuffled Frog-Leaping Algorithm. Search on Bibsonomy J. Mobile Multimedia The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Rongling Lang, Wanyang Ye, Fei Zhao, Zi Li An Adaptive Algorithm for Calculating Crosstalk Error for Blind Source Separation. Search on Bibsonomy Informatica The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Pengyu Liu, Sheng Zhang, Wenli Shen A novel method to test and optimize the periphery crosstalk in CMOS image sensor. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Antoine Soulé, Jean-Marc Steyaert, Jérôme Waldispühl A Nested 2-Level Cross-Validation Ensemble Learning Pipeline Suggests a Negative Pressure Against Crosstalk snoRNA-mRNA Interactions in Saccharomyces cerevisiae. Search on Bibsonomy J. Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Anuj Agrawal, Vimal Bhatia, Shashi Prakash Low-Crosstalk-Margin Routing for Spectrally-Spatially Flexible Optical Networks. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Rafael Magalhães Gomes Kraemer, Fumi Nakamura, Yu Wang, Hiroyuki Tsuda, Nicola Calabretta High Extinction Ratio and Low Crosstalk C and L-Band Photonic Integrated Wavelength Selective Switching. Search on Bibsonomy ICTON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Adolfo V. T. Cartaxo, Tiago M. F. Alves, João L. Rebola Review of the Discrete Changes Model of Intercore Crosstalk in Weakly-Coupled Multicore Fibers. Search on Bibsonomy ICTON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Zeinab Seifoori, Seyedeh Sharareh Mirzargar, Mirjana Stojilovic Closing Leaks: Routing Against Crosstalk Side-Channel Attacks. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Junseok Kim, Eun Ae Lee, Chung-Sup Kim, Young-Jun Chong, Joon Ho Cho An Efficient Calibration of a Fully-Switched MIMO Channel Sounder with Internal Crosstalk. Search on Bibsonomy VTC Spring The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Yongshan Ding 0001, Pranav Gokhale, Sophia Fuhui Lin, Richard Rines, Thomas Propson, Frederic T. Chong Systematic Crosstalk Mitigation for Superconducting Qubits via Frequency-Aware Compilation. Search on Bibsonomy MICRO The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Rezgar Sadeghi, Zainalabedin Navabi Built-In Predictors for Dynamic Crosstalk Avoidance. Search on Bibsonomy ETS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Yue Wang, Vinod M. Vokkarane Light-segment: Crosstalk-and Modulation-Aware Spectrum Allocation with Segmentation in SDM-EON. Search on Bibsonomy ICC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Massoudi Radhouene, Monia Najjar, Nikhildeep Gupta, Vijay Janyani Low crosstalk and small size 12-channel WDM demultiplexer based on 2D photonic crystal. Search on Bibsonomy IWCMC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Sharique Ali Asghar, Poulomi Pal, Kashif Nazeer, Manjunatha Mahadevappa A Computational Study of Graphene as a Prospective Material for Microelectrodes in Retinal Prosthesis and Electric Crosstalk Analysis. Search on Bibsonomy EMBC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Kan Peng, Xian Tang, Zhihua Wang 0001 A Simultaneous Wireless Power and Uplink Data Transfer System with Ultra-Low Crosstalk between the Power and Data Link. Search on Bibsonomy ICTA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Rongjian Liang, Zhiyao Xie, Jinwook Jung, Vishnavi Chauha, Yiran Chen 0001, Jiang Hu, Hua Xiang 0001, Gi-Joon Nam Routing-Free Crosstalk Prediction. Search on Bibsonomy ICCAD The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Han-Gon Ko, Soyeong Shin, Jonghyun Oh, Kwanseo Park, Deog-Kyoon Jeong 6.7 An 8Gb/s/µm FFE-Combined Crosstalk-Cancellation Scheme for HBM on Silicon Interposer with 3D-Staggered Channels. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Abdullah Ash-Saki, Mahabubul Alam, Swaroop Ghosh Analysis of crosstalk in NISQ devices and security implications in multi-programming regime. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Kai Pang, Haoqian Song, Xinzhou Su, Kaiheng Zou, Zhe Zhao 0003, Hao Song 0006, Ahmed Almaiman, Runzhou Zhang, Cong Liu 0010, Nanzhe Hu, Shlomo Zach, Nadav Cohen, Brittany Lynn, Andreas F. Molisch, Robert W. Boyd, Moshe Tur, Alan E. Willner Simultaneous Orthogonalizing and Shaping of Multiple LG Beams to Mitigate Crosstalk and Power Loss by Transmitting Each of Four Data Channels on Multiple Modes in a 400-Gbit/s Free-Space Link. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
12Anuj Agrawal, Vimal Bhatia, Shashi Prakash Towards Zero-Crosstalk-Margin Operation of Spectrally-Spatially Flexible Optical Networks using Heterogeneous Multicore Fibers. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
12Masaki Sato, Hidemi Noguchi, Junichiro Matsui, Jun-ichi Abe, Naoto Ishii, Emmanuel Le Taillandier de Gabory Mitigation of Inter-Subcarrier Linear Crosstalk with Groupwise Fixed FDE assisted MIMO. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
12Chumin Shi, Lei Shen, Junwei Zhang, Junyi Liu, Lei Zhang, Jie Luo, Jie Liu, Siyuan Yu Ultra-Low Inter-Mode-Group Crosstalk Ring-Core Fiber Optimized using Neural Networks and Genetic Algorithm. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
12Hitoshi Takeshita, Keiichi Matsumoto, Hidemi Noguchi, Emmanuel Le Taillandier de Gabory Real-Time Optical Gain Monitoring for Coupled Core Multi-Core EDFA with Strong Inter-Core Crosstalk. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
12Yetian Huang, Ruihuan Zhang, Haoshuo Chen, Hanzi Huang, Qingming Zhu, Yu He, Yuan Yuan, Yingxiong Song, Nicolas K. Fontaine, Roland Ryf, Yong Zhang 0035, Yikai Su, Min Wang On-Chip Mode-Division Multiplexing with Modal Crosstalk Mitigation. Search on Bibsonomy OFC The full citation details ... 2020 DBLP  BibTeX  RDF
12Katayoon Basharkhah, Rezgar Sadeghi, Nooshin Nosrati, Zainalabedin Navabi ESL, Back-annotating Crosstalk Fault Models into High-level Communication Links. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Binjie Chu, Yichen Zhang, Yijia Zhao, Yifan Xu, Xing Chen, Xiangyu Wang 0015, Song Yu 0006 Crosstalk-induced Impact of Coexisting DWDM Network on Continuous-variable QKD. Search on Bibsonomy DRCN The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Junqiang Sun, Xiaoxiong Xiong Crosstalk Effect in NOAA 20 VIIRS Thermal Emissive Bands. Search on Bibsonomy IGARSS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Mircea Nicolaescu, Victor Croitoru, Leontin Tuta Electromagnetic Analysis of Crosstalk in Electronic Digital Modules. Search on Bibsonomy COMM The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Prakash Murali, David C. McKay, Margaret Martonosi, Ali Javadi-Abhari Software Mitigation of Crosstalk on Noisy Intermediate-Scale Quantum Computers. Search on Bibsonomy ASPLOS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Ryotaro Konoike, Hiroyuki Matsuura, Keijiro Suzuki, H. Kawashima, Kazuhiro Ikeda Polarization-insensitive low-crosstalk 8×8 silicon photonics switch with 9×13.5 cm2 control board. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Masanori Takahashi, Koichi Maeda, Keiichi Aiso, Kazunori Mukasa, Ryuichi Sugizaki, Daiki Soma, Hidenori Takahashi, Takehiro Tsuritani, Miranda Mitrovic, Bera Pálsdóttir, Yoshihiro Arashitani Uncoupled 4-core Fibre with Ultra-low Loss and Low Inter Core Crosstalk. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Hitoshi Takeshita, Manabu Arikawa, Keiichi Matsumoto, Kohei Hosokawa, Emmanuel Le Taillandier de Gabory Evaluation of the Signal Amplified by CC-MC-EDFA under Inter-core Crosstalk Using a Scalable SDM/TDM Translation Method. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Cristian Antonelli, Tetsuya Hayashi, Antonio Mecozzi Random Polarization-Mode Coupling Explains Inter-Core Crosstalk in Uncoupled Multi-Core Fibers. Search on Bibsonomy ECOC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12João L. Rebola, Adolfo V. T. Cartaxo, André S. Marques 10 Gbps CPRI signals transmission impaired by intercore crosstalk in 5G network fronthauls with multicore fibers. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Shonak Bansal A Comparative Study of Nature-Inspired Metaheuristic Algorithms in Search of Near-to-optimal Golomb Rulers for the FWM Crosstalk Elimination in WDM Systems. Search on Bibsonomy Appl. Artif. Intell. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Eun Ae Lee, Junseok Kim, Hyuk-Je Kim, Young-Jun Chong, Joon Ho Cho Calibration of a fully-switched MIMO channel sounder with internal crosstalk. Search on Bibsonomy Signal Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Lennart Bamberg, Jan Moritz Joseph, Thilo Pionteck, Alberto García Ortiz Crosstalk optimization for through-silicon vias by exploiting temporal signal misalignment. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Lennart Bamberg, Amir Najafi 0001, Alberto García Ortiz Edge effect aware low-power crosstalk avoidance technique for 3D integration. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Shumin Zhao, Hao Chi Zhang, Liangliang Liu, Jiahao Zhao, Cheng Yang A Novel Low-Crosstalk Driveline Based on Spoof Surface Plasmon Polaritons. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Jaehyuk Lim, Seungjin Lee, Youngjin Jeong, Jaehoon Lee A Novel Method Using a Rectangular Groove to Reduce Far-End Crosstalk in Microstrip Lines Covered With a Dielectric Layer. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Le Gao, Quanyi Yu, Dingchao Wu, Tianhao Wang 0009, Xianli Yu, Yaodan Chi, Tong Zhang Probabilistic Distribution Modeling of Crosstalk in Multi-Conductor Transmission Lines via Maximum Entropy Method. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Seungin Shin, Min-Hyun Kim, Seibum B. Choi Ultrasonic Distance Measurement Method With Crosstalk Rejection at High Measurement Rate. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Naruki Shinohara, Koji Igarashi, Kyo Inoue Bit-Error-Rate Degradation Due to Inter-Channel Crosstalk of Different Signal Format. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Shun Orii, Kyo Inoue, Koji Igarashi Reduction of Crosstalk Influence in a 7-Core Multicore Fiber by Frequency Interleave. Search on Bibsonomy IEICE Trans. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Qingchen Zhang, Weijian Mao, Yangkang Chen Attenuating Crosstalk Noise of Simultaneous-Source Least-Squares Reverse Time Migration With GPU-Based Excitation Amplitude Imaging Condition. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Graziela R. Keller, Truman Wilson, Xu Geng, Aisheng Wu, Zhipeng Wang 0001, Xiaoxiong Xiong Aqua MODIS Electronic Crosstalk Survey: Mid-Wave Infrared Bands. Search on Bibsonomy IEEE Trans. Geosci. Remote. Sens. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Zhuqin Chu, Hui Li 0034, Huaxi Gu, Xiaochun Ye Wavelength assignment method based on ACO to reduce crosstalk for ring-based optical Network-on-Chip. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Manjit Kaur, Neena Gupta, Arun K. Singh Crosstalk analysis of coupled MLGNR interconnects with different types of repeater insertion. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Yongsheng Li 0001, Xiyun Jin, Zishan Wang, Lili Li, Hong Chen, Xiaoyu Lin, Song Yi, Yunpeng Zhang, Juan Xu 0001 Systematic review of computational methods for identifying miRNA-mediated RNA-RNA crosstalk. Search on Bibsonomy Briefings Bioinform. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Luan H. K. Duong, Peng Yang 0003, Zhifei Wang, Yi-Shing Chang, Jiang Xu 0001, Zhehui Wang, Xuanqi Chen Crosstalk Noise Reduction Through Adaptive Power Control in Inter/Intra-Chip Optical Networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Diego de Azevedo Gomes, Aldebaro Klautau, Evaldo Pelaes Modelling and performance evaluation of alien crosstalk mitigation in DMT transmissions. Search on Bibsonomy Digit. Signal Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Syed Mohammad Zafaruddin, Itsik Bergel, Amir Leshem Asymptotic performance of ZF and MMSE crosstalk cancelers for DSL systems. Search on Bibsonomy Digit. Signal Process. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12A. Kavitha, Ch. Sekhararao Kaitepalli, J. N. Swaminathan, Shaik Ahemedali 16- Layer PCB Channel Design with Minimum Crosstalk and Optimization of VIA and TDR Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Urs Niesen, Shrinivas Kudekar Joint Crosstalk-Avoidance and Error-Correction Coding for Parallel Data Buses. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Badugu Divya Madhuri, Subramani Sunithamani Crosstalk noise analysis of on-chip interconnects for ternary logic applications using FDTD. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Raihan Sayeed Khan, Nadim H. Kanan, Jake Scoggin, Helena Silva, Ali Gokirmak Multi-contact Phase Change Toggle Logic Device Utilizing Thermal Crosstalk. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
12Ítalo Brasileiro, Lucas Rodrigues Costa, André C. Drummond A survey on Crosstalk and Routing, Modulation Selection, Core and Spectrum Allocation in Elastic Optical Networks. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
12Peter Händel, Özlem Tugfe Demir, Emil Björnson, Daniel Rönnow Impact of Backward Crosstalk in 2x2 MIMO Transmitters on NMSE and Spectral Efficiency. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
Displaying result #801 - #900 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license