The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPL"( http://dblp.L3S.de/Venues/FPL )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1992 (23) 1993-1994 (65) 1995 (47) 1996 (51) 1997 (52) 1998 (69) 1999 (66) 2000 (102) 2001 (75) 2002 (136) 2003 (147) 2004 (178) 2005 (149) 2006 (183) 2007 (162) 2008 (154) 2009 (142) 2010 (112) 2011 (101) 2012 (142) 2013 (139) 2014 (131) 2015 (99) 2016 (101) 2017 (111) 2018 (86) 2019 (72) 2020 (65) 2021 (83) 2022 (78) 2023 (65)
Publication types (Num. hits)
inproceedings(3155) proceedings(31)
Venues (Conferences, Journals, ...)
FPL(3186)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 210 occurrences of 148 keywords

Results
Found 3186 publication records. Showing 3186 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Muhammed Al Kadi, Max Ferger, Volker Stegemann, Michael Hübner 0001 Multi-FPGA reconfigurable system for accelerating MATLAB simulations. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kenji Kanazawa, Tsutomu Maruyama FPGA acceleration of SAT/Max-SAT solving using variable-way cache. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Xu 0012, Han-Yee Kim, Xi Wang 0011, Weidong Shi, Taeweon Suh Privacy preserving large scale DNA read-mapping in MapReduce framework using FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stefan Wonneberger, Max Kohler, Wojciech Derendarz, Thorsten Graf 0001, Rolf Ernst Efficient 3D triangulation in hardware for dense structure-from-motion in low-speed automotive scenarios. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Siqi Wang, Pham Nam Khanh, Amit Kumar Singh 0002, Akash Kumar 0001 Leakage and performance aware resource management for 2D dynamically reconfigurable FPGA architectures. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Igor Villata, Unai Bidarte, Uli Kretzschmar, Armando Astarloa, Jesús Lázaro 0001 Fast and accurate SEU-tolerance characterization method for Zynq SoCs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tim Güneysu, Francesco Regazzoni 0001, Pascal Sasdrich, Marcin Wójcik THOR - The hardware onion router. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gary C. T. Chow, Paul Grigoras, Pavel Burovskiy, Wayne Luk An efficient sparse conjugate gradient solver using a Beneš permutation network. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eugene Cartwright, Alborz Sadeghian, Sen Ma, David Andrews 0001 Achieving portability and efficiency over chip heterogeneous multiprocessor systems. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nima Safari, Volker Mauer, Shahin Gheitanchi Methods for implementation of feedback loops in high speed FPGA applications. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Soh Jun Jie, Nachiket Kapre Comparing soft and hard vector processing in FPGA-based embedded systems. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Liqun Yang, Haigang Yang, Wei Li, Zhihua Li, Zhihong Huang, Colin Yu Lin A semi-supervised modeling approach for performance characterization of FPGA architectures. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Syed M. A. H. Jafri, Guilermo Serrano, Masoud Daneshtalab, Naeem Abbas, Ahmed Hemani, Kolin Paul, Juha Plosila, Hannu Tenhunen TransPar: Transformation based dynamic Parallelism for low power CGRAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matthias Pohl, Michael Schaeferling, Gundolf Kiefer An efficient FPGA-based hardware framework for natural feature extraction and related Computer Vision tasks. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wenlai Zhao, Haohuan Fu, Guangwen Yang, Wayne Luk Patra: Parallel tree-reweighted message passing architecture. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Henry Block, Tsutomu Maruyama An FPGA hardware acceleration of the indirect calculation of tree lengths method for phylogenetic tree reconstruction. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Ahari, Behnam Khaleghi, Zahra Ebrahimi, Hossein Asadi 0001, Mehdi Baradaran Tahoori Towards dark silicon era in FPGAs using complementary hard logic design. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jinzhe Yang, Binghuan Lin, Wayne Luk, Terence Nahar Particle filtering-based Maximum Likelihood Estimation for financial parameter estimation. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jasmina Vasiljevic, Paul Chow Using buffer-to-BRAM mapping approaches to trade-off throughput vs. memory use. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dirk Koch, Christian Beckhoff Hierarchical reconfiguration of FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Muhuan Huang, Kevin Lim, Jason Cong A scalable, high-performance customized priority queue. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aiko Iwasaki, Keisuke Dohi, Yuichiro Shibata, Kiyoshi Oguri, Ryuichi Harasawa A soft-core processor for finite field arithmetic with a variable word size accelerator. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joshua S. Monson, Brad L. Hutchings New approaches for in-system debug of behaviorally-synthesized FPGA circuits. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 24th International Conference on Field Programmable Logic and Applications, FPL 2014, Munich, Germany, 2-4 September, 2014 Search on Bibsonomy FPL The full citation details ... 2014 DBLP  BibTeX  RDF
1Dominik Sondej, Ryszard Szplet A combination of multi-edge coding and independent coding lines for time-to-digital conversion. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kenneth M. Zick, Sen Li, Matthew French High-precision self-characterization for the LUT burn-in information leakage threat. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Keitaro Takizawa, Shunya Hosaka, Hiroshi Saito A design support tool set for asynchronous circuits with bundled-data implementation on FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tuan D. A. Nguyen, Akash Kumar 0001 PR-HMPSoC: A versatile partially reconfigurable heterogeneous Multiprocessor System-on-Chip for dynamic FPGA-based embedded systems. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wilson José, Ana Rita Silva, Horácio C. Neto, Mário P. Véstias Efficient implementation of a single-precision floating-point arithmetic unit on FPGA. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hock Soon Low, Delong Shang, Fei Xia, Alexandre Yakovlev Asynchronously assisted FPGA for variability. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Frederik Grüll, Udo Kebschull Biomedical image processing and reconstruction with dataflow computing on FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abdulazim Amouri, Florent Bruguier, Saman Kiamehr, Pascal Benoit, Lionel Torres, Mehdi Baradaran Tahoori Aging effects in FPGAs: an experimental analysis. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Emna Amouri, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger, Habib Mehrez Balancing WDDL dual-rail logic in a tree-based FPGA to enhance physical security. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christian Fobel, Gary William Grewal, Deborah Stacey A scalable, serially-equivalent, high-quality parallel placement methodology suitable for modern multicore and GPU architectures. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hasan Azgin, Serkan Yaliman, Ilker Hamzaoglu A high performance alternating projections image demosaicing hardware. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oren Segal, Martin Margala, Sai Rahul Chalamalasetti, Mitch Wright High level programming framework for FPGAs in the data center. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ghada Dessouky, Michael J. Klaiber, Donald G. Bailey, Sven Simon 0001 Adaptive Dynamic On-chip Memory Management for FPGA-based reconfigurable architectures. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ryan Marlow, Chris Dobson, Peter Athanas An enhanced and embedded GNU radio flow. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Athanasios Stratikopoulos, Grigorios Chrysos 0001, Ioannis Papaefstathiou, Apostolos Dollas HPC-gSpan: An FPGA-based parallel system for frequent subgraph mining. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shyamsundar Venkataraman, Rui Santos, Anup Das 0001, Akash Kumar 0001 A bit-interleaved embedded hamming scheme to correct single-bit and multi-bit upsets for SRAM-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fabio Garzia, Alexander Rügamer, Robert Koch 0002, Philipp Neumaier, Ekaterina Serezhkina, Matthias Overbeck, Günter Rohmer Experimental multi-FPGA GNSS receiver platform. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Ebrahim, Tughrul Arslan, Xabier Iturbe A fast and scalable FPGA damage diagnostic service for R3TOS using BIST cloning technique. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Raphael Polig, Kubilay Atasu, Heiner Giefers, Laura Chiticariu Compiling text analytics queries to FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhuo Qian, Nasibeh Nasiri, Oren Segal, Martin Margala FPGA implementation of low-power split-radix FFT processors. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Davor Capalija, Tarek S. Abdelrahman Tile-based bottom-up compilation of custom mesh-of-functional-units FPGA overlays. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrew Canis, Stephen Dean Brown, Jason Helge Anderson Modulo SDC scheduling with recurrence minimization in high-level synthesis. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Liucheng Guo, David B. Thomas, Ce Guo, Wayne Luk Automated framework for FPGA-based parallel genetic algorithms. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eddie Hung, Tim Todman, Wayne Luk Transparent insertion of latency-oblivious logic onto FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tassadaq Hussain, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Eduard Ayguadé, Mateo Valero MAPC: Memory access pattern based controller. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Khalid Javeed, Xiaojun Wang 0001 Radix-4 and radix-8 booth encoded interleaved modular multipliers over general Fp. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eric Shun Fukuda, Hiroaki Inoue, Takashi Takenaka, Dahoo Kim, Tsunaki Sadahisa, Tetsuya Asai, Masato Motomura Caching memcached at reconfigurable network interface. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cláudio Machado Diniz, Muhammad Shafique 0001, Sergio Bampi, Jörg Henkel Run-time accelerator binding for tile-based mixed-grained reconfigurable architectures. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hirak J. Kashyap, Ricardo Chaves Secure partial dynamic reconfiguration with unsecured external memory. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chen Yang 0005, Leibo Liu, Yansheng Wang, Shouyi Yin, Peng Cao 0002, Shaojun Wei Configuration approaches to improve computing efficiency of coarse-grained reconfigurable multimedia processor. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Youkou Sogabe, Tsutomu Maruyama FPGA acceleration of short read mapping based on sort and parallel comparison. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Umer I. Cheema, Gregory Nash, Rashid Ansari, Ashfaq A. Khokhar Power-efficient re-gridding architecture for accelerating Non-uniform Fast Fourier Transform. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Siddhartha 0001, Nachiket Kapre Heterogeneous dataflow architectures for FPGA-based sparse LU factorization. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christian Beckhoff, Dirk Koch, Jim Tørresen Portable module relocation and bitstream compression for Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Luca Gallo, Alessandro Cilardo, David B. Thomas, Samuel Bayliss, George A. Constantinides Area implications of memory partitioning for high-level synthesis on FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pingfan Meng, Matthew Jacobsen, Motoki Kimura, Vladimir Dergachev, Thomas Anantharaman, Michael Requa, Ryan Kastner Hardware accelerated novel optical de novo assembly for large-scale genomes. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexandru Amaricai, Constantina-Elena Gavriliu, Oana Boncalo An FPGA sliding window-based architecture harris corner detector. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael Kunz, Alexander Ostrowski, Peter Zipf An FPGA-optimized architecture of horn and schunck optical flow algorithm for real-time applications. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abhinav Agarwal, Haitham Hassanieh, Omid Abari, Ezzeldin Hamed, Dina Katabi, Arvind High-throughput implementation of a million-point sparse Fourier Transform. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Kumm, Peter Zipf Pipelined compressor tree optimization using integer linear programming. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vincent Mirian, Paul Chow Using an OpenCL framework to evaluate interconnect implementations on FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Toru Katagiri, Hideharu Amano A high speed design and implementation of dynamically reconfigurable processor using 28NM SOI technology. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcin Rogawski, Ekawat Homsirikamol, Kris Gaj A novel modular adder for one thousand bits and more using fast carry chains of modern FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Thomas B. Preußer, Rainer G. Spallek Ready PCIe data streaming solutions for FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohamad Najem, Pascal Benoit, Florent Bruguier, Gilles Sassatelli, Lionel Torres Method for dynamic power monitoring on FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pablo Leyva, Ginés Doménech-Asensi, F. Javier Garrigós, Julio Illade-Quinteiro, Víctor M. Brea 0001, Paula López 0001, Diego Cabello Simplification and hardware implementation of the feature descriptor vector calculation in the SIFT algorithm. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oriol Arcas-Abella, Geoffrey Ndu, Nehir Sönmez, Mohsen Ghasempour, Adrià Armejach, Javier Navaridas, Wei Song 0002, John Mawer, Adrián Cristal, Mikel Luján An empirical evaluation of High-Level Synthesis languages and tools for database acceleration. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kermin Fleming, Hsin-Jung Yang, Michael Adler, Joel S. Emer The LEAP FPGA operating system. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oana Boncalo, Alexandru Amaricai, Andrei Hera, Valentin Savin Cost-efficient FPGA layered LDPC decoder with serial AP-LLR processing. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Arash Farhadi Beldachi, José L. Núñez-Yáñez Accurate power control and monitoring in ZYNQ boards. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jian Gong, Tao Wang 0004, Jiahua Chen, Haoyang Wu, Fan Ye 0003, Songwu Lu, Jason Cong An efficient and flexible host-FPGA PCIe communication library. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oliver Knodel, Martin Zabel, Patrick Lehmann 0001, Rainer G. Spallek Educating hardware design - From primary school children to postgraduate engineers. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhenzhi Wu, Dake Liu, Zheng Yang, Qingying Wang, Wei Zhou FPGA implementation of a multi-algorithm parallel FEC for SDR platforms. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexander Wild, Tim Güneysu Enabling SRAM-PUFs on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yanbiao Li, Dafang Zhang, Xian Yu, Wei Liang 0005, Jing Long, Hong Qiao Accelerate NDN name lookup using FPGA: Challenges and a scalable approach. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fumito Yamaguchi, Kanae Matsui, Hiroaki Nishi RAM-based hardware accelerator for network data anonymization. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lin Gan, Haohuan Fu, Chao Yang 0002, Wayne Luk, Wei Xue, Oskar Mencer, Xiaomeng Huang, Guangwen Yang A highly-efficient and green data flow engine for solving euler atmospheric equations. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Junyi Liu, Helfried Peyrl, Andreas Burg, George A. Constantinides FPGA implementation of an interior point method for high-speed model predictive control. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pavel Burovskiy, Stephen Girdlestone, Craig Davies, Spencer J. Sherwin, Wayne Luk Dataflow acceleration of Krylov subspace sparse banded problems. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rui Santos, Shyamsundar Venkataraman, Anup Das 0001, Akash Kumar 0001 Criticality-aware scrubbing mechanism for SRAM-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christian Brugger, Christian de Schryver, Norbert Wehn HyPER: A runtime reconfigurable architecture for monte carlo option pricing in the Heston model. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ernesto Sánchez 0001, Luca Sterpone, Anees Ullah Effective emulation of permanent faults in ASICs through dynamically reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcin Pietras Hardware conversion of neural networks simulation models for neural processing accelerator implemented as FPGA-based SoC. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1James J. Davis 0001, Peter Y. K. Cheung Achieving low-overhead fault tolerance for parallel accelerators with dynamic partial reconfiguration. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shane T. Fleming, David B. Thomas Heterogeneous Heartbeats: A framework for dynamic management of Autonomous SoCs. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nithin George, HyoukJoong Lee, David Novo, Tiark Rompf, Kevin J. Brown, Arvind K. Sujeeth, Martin Odersky, Kunle Olukotun, Paolo Ienne Hardware system synthesis from Domain-Specific Languages. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Adithya Pulli, Carlo Galuzzi, Georgi Gaydadjiev Towards domain-specific Instruction-Set Generation. Search on Bibsonomy FPL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matthew Naylor, Paul James Fox, A. Theodore Markettos, Simon W. Moore Managing the FPGA memory wall: Custom computing or vector processing? Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qian Zhao 0001, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi An automatic FPGA design and implementation framework. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Luiz G. A. Martins, Eduardo Marques Design Space Exploration based on multiobjective genetic algorithms and clustering-based high-level estimation. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthew Naylor, Paul James Fox, A. Theodore Markettos, Simon W. Moore A spiking neural network on a portable FPGA tablet. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthew Jacobsen, Ryan Kastner RIFFA 2.0: A reusable integration framework for FPGA accelerators. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arvind Arasu, Ken Eguro, Raghav Kaushik, Donald Kossmann, Ravi Ramamurthy, Ramarathnam Venkatesan A secure coprocessor for database applications. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel Kliem, Sven-Ole Voigt An asynchronous bus bridge for partitioned multi-soc architectures on FPGAs. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1José M. Leitão, José A. Germano, Nuno Roma, Ricardo Chaves, Pedro Tomás Scalable and high throughput biosensing platform. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bruno da Silva 0001, An Braeken, Erik H. D'Hollander, Abdellah Touhafi, Jan G. Cornelis, Jan Lemeire Comparing and combining GPU and FPGA accelerators in an image processing context. Search on Bibsonomy FPL The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 3186 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license