The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "HPCA"( http://dblp.L3S.de/Venues/HPCA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/hpca

Publication years (Num. hits)
1995 (37) 1996 (30) 1997 (31) 1998 (32) 1999 (43) 2000 (36) 2001 (27) 2002 (29) 2003 (35) 2004 (28) 2005 (36) 2006 (32) 2007 (33) 2008 (38) 2009 (40) 2010 (40) 2011 (49) 2012 (40) 2013 (56) 2014 (59) 2015 (56) 2016 (58) 2017 (56) 2018 (63) 2019 (57) 2020 (55) 2021 (70) 2022 (86) 2023 (95) 2024 (82)
Publication types (Num. hits)
inproceedings(1399) proceedings(30)
Venues (Conferences, Journals, ...)
HPCA(1429)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 335 occurrences of 238 keywords

Results
Found 1429 publication records. Showing 1429 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Nagabhushan Chitlur, Ganapati Srinivasa, Scott Hahn, P. K. Gupta, Dheeraj Reddy, David A. Koufaty, Paul Brett, Abirami Prabhakaran, Li Zhao 0002, Nelson Ijih, Suchit Subhaschandra, Sabina Grover, Xiaowei Jiang, Ravi R. Iyer 0001 QuickIA: Exploring heterogeneous architectures on real prototypes. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Valentina Salapura, Tejas Karkhanis, Priya Nagpurkar, José E. Moreira Accelerating business analytics applications. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Niladrish Chatterjee, Naveen Muralimanohar, Rajeev Balasubramonian, Al Davis, Norman P. Jouppi Staged Reads: Mitigating the impact of DRAM writes on DRAM reads. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Min Kyu Jeong, Doe Hyun Yoon, Dam Sunwoo, Michael B. Sullivan 0001, Ikhwan Lee, Mattan Erez Balancing DRAM locality and parallelism in shared memory CMP systems. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Daniel Sánchez 0003, Christos Kozyrakis SCD: A scalable coherence directory with flexible sharer set encoding. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Manu Awasthi, Manjunath Shevgoor, Kshitij Sudan, Bipin Rajendran, Rajeev Balasubramonian, Viji Srinivasan Efficient scrub mechanisms for error-prone emerging memories. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Houman Homayoun, Vasileios Kontorinis, Amirali Shayan, Ta-Wei Lin, Dean M. Tullsen Dynamically heterogeneous cores through 3D resource pooling. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Timothy N. Miller, Xiang Pan, Renji Thomas, Naser Sedaghati, Radu Teodorescu Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jinho Suh, Murali Annavaram, Michel Dubois 0001 MACAU: A Markov model for reliability evaluations of caches under Single-bit and Multi-bit Upsets. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cedomir Segulja, Tarek S. Abdelrahman Architectural support for synchronization-free deterministic parallel programming. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Augusto Vega, Pradip Bose, Alper Buyuktosunoglu, Jeff H. Derby, Michele Franceschini, Charles Johnson, Robert K. Montoye Architectural perspectives of future wireless base stations based on the IBM PowerEN™ processor. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Karthik T. Sundararajan, Vasileios Porpodas, Timothy M. Jones 0001, Nigel P. Topham, Björn Franke Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Samira Manabi Khan, Zhe Wang 0023, Daniel A. Jiménez Decoupled dynamic cache segmentation. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jesse Benson, Ryan Cofell, Chris Frericks, Chen-Han Ho, Venkatraman Govindaraju, Tony Nowatzki, Karthikeyan Sankaralingam Design, integration and implementation of the DySER hardware accelerator into OpenSPARC. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Daly, Harold W. Cain Cache restoration for highly partitioned virtualized systems. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Arun Raghavan, Yixin Luo, Anuj Chandawalla, Marios C. Papaefthymiou, Kevin P. Pipe, Thomas F. Wenisch, Milo M. K. Martin Computational sprinting. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kevin T. Lim, Yoshio Turner, Jose Renato Santos, Alvin AuYoung, Jichuan Chang, Parthasarathy Ranganathan, Thomas F. Wenisch System-level implications of disaggregated memory. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tianshi Chen 0002, Yunji Chen, Qi Guo 0001, Olivier Temam, Yue Wu, Weiwu Hu Statistical performance comparisons of computers. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nan Jiang 0009, Daniel U. Becker, George Michelogiannakis, William J. Dally Network congestion avoidance through Speculative Reservation. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Janani Mukundan, José F. Martínez MORSE: Multi-objective reconfigurable self-optimizing memory scheduler. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sheng Ma, Natalie D. Enright Jerger, Zhiying Wang 0003 Supporting efficient collective communication in NoCs. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anurag Negi, J. Rubén Titos Gil, Manuel E. Acacio, José M. García 0001, Per Stenström π-TM: Pessimistic invalidation for scalable lazy hardware transactional memory. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 18th IEEE International Symposium on High Performance Computer Architecture, HPCA 2012, New Orleans, LA, USA, 25-29 February, 2012 Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  BibTeX  RDF
1Shanxiang Qi, Norimasa Otsuki, Lois Orosa Nogueira, Abdullah Muzahid, Josep Torrellas Pacman: Tolerating asymmetric data races with unintrusive hardware. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yangyang Pan, Guiqiang Dong, Qi Wu 0006, Tong Zhang 0002 Quasi-nonvolatile SSD: Trading flash memory nonvolatility to improve storage system performance for enterprise applications. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dyer Rolán, Basilio B. Fraguela, Ramon Doallo Adaptive Set-Granular Cooperative Caching. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Bo Zhao 0007, Youtao Zhang, Jun Yang 0002, Bruce R. Childers Improving write operations in MLC phase change memory. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Guihai Yan, Yingmin Li, Yinhe Han 0001, Xiaowei Li 0001, Minyi Guo, Xiaoyao Liang AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi Yang 0018, Ping Xiang, Mike Mantor, Huiyang Zhou CPU-assisted GPGPU on fused CPU-GPU architectures. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Xuehai Qian, Benjamín Sahelices, Josep Torrellas BulkSMT: Designing SMT processors for atomic-block execution. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sheng Ma, Natalie D. Enright Jerger, Zhiying Wang 0003 Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Steven J. Battle, Andrew D. Hilton, Mark Hempstead, Amir Roth Flexible register management using reference counting. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaekyu Lee, Hyesoon Kim TAP: A TLP-aware cache management policy for a CPU-GPU heterogeneous architecture. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Javier Carretero, Xavier Vera, Jaume Abella 0001, Tanausú Ramírez, Matteo Monchiero, Antonio González 0001 Hardware/software-based diagnosis of load-store queues using expandable activity logs. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kathryn S. McKinley How's the parallel computing revolution going? Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shekhar Srikantaiah, Emre Kultursay, Tao Zhang 0032, Mahmut T. Kandemir, Mary Jane Irwin, Yuan Xie 0001 MorphCache: A Reconfigurable Adaptive Multi-level Cache hierarchy. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi, André Seznec, Luis A. Lastras, Michele Franceschini Practical and secure PCM systems by online detection of malicious write streams. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Feng Chen 0005, Rubao Lee, Xiaodong Zhang 0001 Essential roles of exploiting internal parallelism of flash memory based solid state drives in high-speed data processing. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), February 12-16 2011, San Antonio, Texas, USA Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  BibTeX  RDF
1Sanghoon Lee 0006, Devesh Tiwari, Yan Solihin, James Tuck 0001 HAQu: Hardware-accelerated queueing for fine-grained threading on a chip multiprocessor. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Owen Anderson, Emily Fortuna, Luis Ceze, Susan J. Eggers Checked Load: Architectural support for JavaScript type-checking on mobile processors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chao Li 0009, Wangyuan Zhang, Chang-Burm Cho, Tao Li 0006 SolarCore: Solar energy driven multi-core architecture power management. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaowei Jiang, Asit K. Mishra, Li Zhao 0002, Ravishankar R. Iyer 0001, Zhen Fang, Sadagopan Srinivasan, Srihari Makineni, Paul Brett, Chita R. Das ACCESS: Smart scheduling for asymmetric cache CMPs. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hans M. Jacobson, Alper Buyuktosunoglu, Pradip Bose, Emrah Acar, Richard J. Eickemeyer Abstraction and microarchitecture scaling in early-stage power modeling. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Pellauer, Michael Adler, Michel A. Kinsy, Angshuman Parashar, Joel S. Emer HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yao Zhang 0001, John D. Owens A quantitative performance analysis model for GPU architectures. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hamid Reza Ghasemi, Stark C. Draper, Nam Sung Kim Low-voltage on-chip cache architecture using heterogeneous cell sizes for high-performance processors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jeffery A. Brown, Leo Porter 0001, Dean M. Tullsen Fast thread migration via cache working set prediction. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Clinton Wills Smullen IV, Vidyabhushan Mohan, Anurag Nigam, Sudhanva Gurumurthi, Mircea R. Stan Relaxing non-volatility for fast and energy-efficient STT-RAM caches. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mojtaba Mehrara, Po-Chun Hsu, Mehrzad Samadi, Scott A. Mahlke Dynamic parallelization of JavaScript applications using an ultra-lightweight speculation mechanism. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wilson W. L. Fung, Tor M. Aamodt Thread block compaction for efficient SIMT control flow. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jian Li 0059, Wei Huang 0004, Charles Lefurgy, Lixin Zhang 0002, Wolfgang E. Denzel, Richard R. Treumann, Kun Wang Power shifting in Thrifty Interconnection Network. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiangyong Ouyang, David W. Nellans, Robert Wipfel, David Flynn, Dhabaleswar K. Panda 0001 Beyond block I/O: Rethinking traditional storage primitives. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chris Fallin, Chris Craik, Onur Mutlu CHIPPER: A low-complexity bufferless deflection router. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jayaram Bobba, Marc Lupon, Mark D. Hill, David A. Wood 0001 Safe and efficient supervised memory systems. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Derek Hower, Polina Dudnik, Mark D. Hill, David A. Wood 0001 Calvin: Deterministic or not? Free will to choose. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1R. Manikantan, Kaushik Rajan, R. Govindarajan NUcache: An efficient multicore cache organization based on Next-Use distance. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Madhura Joshi, Wangyuan Zhang, Tao Li 0006 Mercury: A fast and energy-efficient multi-level cell based Phase Change Memory system. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Qing Yang 0001, Jin Ren I-CASH: Intelligently Coupled Array of SSD and HDD. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Krishna K. Rangan, Michael D. Powell, Gu-Yeon Wei, David M. Brooks Achieving uniform performance and maximizing throughput in the presence of heterogeneity. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1James R. Larus Programming the cloud. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rui Hou 0001, Lixin Zhang 0002, Michael C. Huang 0001, Kun Wang, Hubertus Franke, Yi Ge, Xiaotao Chang Efficient data streaming with on-chip accelerators: Opportunities and challenges. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1André Seznec Storage free confidence estimation for the TAGE branch predictor. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dana Vantrease, Mikko H. Lipasti, Nathan L. Binkert Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Song Liu, Brian Leung, Alexander Neckar, Seda Ogrenci Memik, Gokhan Memik, Nikos Hardavellas Hardware/software techniques for DRAM thermal management. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Ferdman, Pejman Lotfi-Kamran, Ken Balet, Babak Falsafi Cuckoo directory: A scalable directory for many-core systems. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rakesh Ranjan, Fernando Latorre, Pedro Marcuello, Antonio González 0001 Fg-STP: Fine-Grain Single Thread Partitioning on Multicores. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christopher Nitta, Matthew K. Farrens, Venkatesh Akella Addressing system-level trimming issues in on-chip nanophotonic networks. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hyunjin Lee, Sangyeun Cho, Bruce R. Childers CloudCache: Expanding and shrinking private caches. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jack Sampson, Ganesh Venkatesh, Nathan Goulding-Hotta, Saturnino Garcia, Steven Swanson, Michael Bedford Taylor Efficient complex operators for irregular codes. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Abhishek Bhattacharjee, Daniel Lustig, Margaret Martonosi Shared last-level TLBs for chip multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaowei Jiang, Yan Solihin Architectural framework for supporting operating system survivability. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Behnam Robatmili, Madhu Saravana Sibi Govindan, Doug Burger, Stephen W. Keckler Exploiting criticality to reduce bottlenecks in distributed uniprocessors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke Archipelago: A polymorphic cache design for enabling robust near-threshold operation. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hung-Wei Tseng 0001, Dean M. Tullsen Data-triggered threads: Eliminating redundant computation. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Niti Madan, Alper Buyuktosunoglu, Pradip Bose, Murali Annavaram A case for guarded power gating for multi-core processors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dongyoon Lee, Mahmoud Said, Satish Narayanasamy, Zijiang Yang Offline symbolic analysis to infer Total Store Order. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Junli Gu, Steven S. Lumetta, Rakesh Kumar 0002, Yihe Sun MOPED: Orchestrating interprocess message data on CMPs. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Doe Hyun Yoon, Naveen Muralimanohar, Jichuan Chang, Parthasarathy Ranganathan, Norman P. Jouppi, Mattan Erez FREE-p: Protecting non-volatile memory against both hard and soft errors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Venkatraman Govindaraju, Chen-Han Ho, Karthikeyan Sankaralingam Dynamically Specialized Datapaths for energy efficient computing. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Geoffrey Blake, Ronald G. Dreslinski, Trevor N. Mudge Bloom Filter Guided Transaction Scheduling. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Guangdeng Liao, Xia Zhu, Laxmi N. Bhuyan A new server I/O architecture for high speed networks. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dimitris Kaseridis, Jeffrey Stuecheli, Jian Chen 0030, Lizy Kurian John A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large CMP systems. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ioannis Doudalis, Milos Prvulovic HARE: Hardware assisted reverse execution. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1David Champagne, Ruby B. Lee Scalable architectural support for trusted software. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Josep Torrellas, Bill Gropp, Vivek Sarkar, Jaime H. Moreno, Kunle Olukotun Extreme scale computing: Challenges and opportunities. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jaejin Lee, Jun Lee, Sangmin Seo, Jungwon Kim, Seungkyun Kim, Zehra Sura COMIC++: A software SVM system for heterogeneous multicore accelerator clusters. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fang Liu, Xiaowei Jiang, Yan Solihin Understanding how off-chip memory bandwidth partitioning in Chip Multiprocessors affects system performance. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Brian Greskamp, Ulya R. Karpuzcu, Josep Torrellas LeadOut: Composing low-overhead frequency-enhancing techniques for single-thread performance in configurable multicores. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dan Tang, Yungang Bao, Weiwu Hu, Mingyu Chen 0001 DMA cache: Using on-chip storage to architecturally separate I/O data from CPU data for improving I/O performance. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Davy Genbrugge, Stijn Eyerman, Lieven Eeckhout Interval simulation: Raising the level of abstraction in architectural simulation. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Muhammad Umar Farooq 0003, Lei Chen, Lizy Kurian John Value Based BTB Indexing for indirect jump prediction. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yi Xu, Bo Zhao 0007, Youtao Zhang, Jun Yang 0002 Simple virtual channel allocation for high throughput and high frequency on-chip routers. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nikola Vujic, Marc González 0001, Felipe Cabarcas, Alex Ramírez, Xavier Martorell, Eduard Ayguadé DMA++: on the fly data realignment for on-chip memories. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arunchandar Vasan 0001, Anand Sivasubramaniam, Vikrant Shimpi, T. Sivabalan, Rajesh Subbiah Worth their watts? - an empirical study of datacenter servers. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hyunjin Lee, Sangyeun Cho, Bruce R. Childers StimulusCache: Boosting performance of chip multiprocessors with excess cache. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Seokhyeong Kang, Rakesh Kumar 0002, John Sartori Designing a processor from the ground up to allow voltage/reliability tradeoffs. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Malcolm S. Ware, Karthick Rajamani, Michael S. Floyd, Bishop Brock, Juan C. Rubio, Freeman L. Rawson III, John B. Carter Architecting for power management: The IBM POWER7TM approach. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Javier Merino, Valentin Puente, José-Ángel Gregorio ESP-NUCA: A low-cost adaptive Non-Uniform Cache Architecture. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dong Hyuk Woo, Nak Hee Seong, Dean L. Lewis, Hsien-Hsin S. Lee An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1429 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license