|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1102 occurrences of 642 keywords
|
|
|
Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
1 | Ahish Mysore Somashekar, Spyros Tragoudas, Rathish Jayabharathi |
Non-enumerative correlation-aware path selection. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Debapriya Basu Roy, Shivam Bhasin, Sylvain Guilley, Jean-Luc Danger, Debdeep Mukhopadhyay |
From theory to practice of private circuit: A cautionary note. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Arseniy Vitkovskiy, Vassos Soteriou, Paul V. Gratz |
Clotho: Proactive wearout deceleration in Chip-Multiprocessor interconnects. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jeongjae Yu, Wooyoung Jang |
FDRAM: DRAM architecture flexible in successive row and column accesses. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Huajun Chen, Zichu Qi, Lin Wang, Chao Xu |
A scan chain optimization method for diagnosis. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ehsan Atoofian, Ahsan Saghir |
Shift-aware racetrack memory. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Khaled Z. Mahmoud, William E. Smith, Mark Fishkin, Timothy N. Miller |
Data-driven logic synthesizer for acceleration of Forward propagation in artificial neural networks. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jie Zhang 0048, Gieseo Park, Mustafa M. Shihab, David Donofrio, John Shalf, Myoungsoo Jung |
OpenNVM: An open-sourced FPGA-based NVM controller for low level memory characterization. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Anil Kanduri, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen |
Dark silicon aware runtime mapping for many-core systems: A patterning approach. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jiashen Li, Yun Pan |
A fast and energy efficient branch and bound algorithm for NoC task mapping. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | XianWei Zhang, Youtao Zhang, Jun Yang 0002 |
TriState-SET: Proactive SET for improved performance of MLC phase change memories. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Dawei Li, Siddhartha Joshi, Seda Ogrenci Memik, James Hoff, Sergo Jindariani, Tiehui Liu 0001, Jamieson Olsen, Nhan Tran |
A methodology for power characterization of associative memories. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Raphael Viguier, Chung-Ching Lin, Karthik Swaminathan, Augusto Vega, Alper Buyuktosunoglu, Sharathchandra Pankanti, Pradip Bose, H. Akbarpour, Filiz Bunyak, Kannappan Palaniappan, Guna Seetharaman |
Resilient mobile cognition: Algorithms, innovations, and architectures. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | David A. Penry |
Improving the interface performance of synthesized structural FAME simulators through scheduling. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Benjamin C. Lee |
Applied statistical inference for system design and management. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jude Angelo Ambrose, Yusuke Yachide, Kapil Batra, Jorgen Peddersen, Sri Parameswaran |
Sequential C-code to distributed pipelined heterogeneous MPSoC synthesis for streaming applications. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Cesar Gomes, Mark Hempstead |
Combative cache efficacy techniques: Cache replacement in the context of independent prefetching in last level cache. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Bo Mao, Suzhen Wu |
Exploiting request characteristics and internal parallelism to improve SSD performance. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Hamid Reza Ghasemi, Ulya R. Karpuzcu, Nam Sung Kim |
Comparison of single-ISA heterogeneous versus wide dynamic range processors for mobile applications. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Joao Marcos de Aguiar, Sunil P. Khatri |
Exploring the viability of stochastic computing. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chao Luo, Yunsi Fei, Pei Luo, Saoni Mukherjee, David R. Kaeli |
Side-channel power analysis of a GPU AES implementation. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Cheng Xue, R. D. (Shawn) Blanton |
A one-pass test-selection method for maximizing test coverage. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Gustavo A. Chaparro-Baquero, Soamar Homsi, Omara Vichot, Shaolei Ren, Gang Quan, Shangping Ren |
Cache allocation for fixed-priority real-time scheduling on multi-core platforms. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yanwei Song, Mahdi Nazm Bojnordi, Engin Ipek |
Energy-efficient data movement with sparse transition encoding. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Valeria Bertacco, Wade Bonkowski |
ItHELPS: Iterative high-accuracy error localization in post-silicon. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Paul Otto, Maria Malik, Nima Akhlaghi, Rebel Sequeira, Houman Homayoun, Siddhartha Sikdar |
Power and performance characterization, analysis and tuning for energy-efficient edge detection on atom and ARM based platforms. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Daniele Jahier Pagliari, Andrea Calimera, Enrico Macii, Massimo Poncino |
An automated design flow for approximate circuits based on reduced precision redundancy. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yiren Shen, Jiang Hu |
GPU acceleration for PCA-based statistical static timing analysis. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Daniele Jahier Pagliari, Mario R. Casu, Luca P. Carloni |
Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Karthikeyan P. Saravanan, Paul M. Carpenter, Alex Ramírez |
Exploring multiple sleep modes in on/off based energy efficient HPC networks. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Felipe S. Marranghello, Vinicius Callegaro, André Inácio Reis, Renato P. Ribas |
SOP based logic synthesis for memristive IMPLY stateful logic. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yuan He 0002, Masaaki Kondo, Takashi Nakada, Hiroshi Sasaki 0001, Shinobu Miwa, Hiroshi Nakamura |
Runtime multi-optimizations for energy efficient on-chip interconnections1. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Sudarshan Srinivasan, Israel Koren, Sandip Kundu |
Online mechanism for reliability and power-efficiency management of a dynamically reconfigurable core. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Sudhanshu Shukla, Mainak Chaudhuri |
Pool directory: Efficient coherence tracking with dynamic directory allocation in many-core systems. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Doyun Kim, Jiangyi Li, Mingoo Seok |
Energy-optimal voltage model supporting a wide range of nodal switching rates for early design-space exploration. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Andrew D. Targhetta, Donald E. Owen, Francis L. Israel, Paul V. Gratz |
Energy-efficient implementations of GF (p) and GF(2m) elliptic curve cryptography. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yuxin Bai, Yanwei Song, Mahdi Nazm Bojnordi, Alexander E. Shapiro, Engin Ipek, Eby G. Friedman |
Architecting a MOS current mode logic (MCML) processor for fast, low noise and energy-efficient computing in the near-threshold regime. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Si Wu 0003, Yinlong Xu, Yongkun Li 0001, Yunfeng Zhu |
POS: A Popularity-based Online Scaling scheme for RAID-structured storage systems. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Todd M. Austin |
Keynote talk I: Ending the Tyranny of Amdahl's Law. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chang Song, Lei Ju 0001, Zhiping Jia |
Hybrid scratchpad and cache memory management for energy-efficient parallel HEVC encoding. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Tomohiro Yoneda, Masashi Imai |
A new encoding mechanism for low power inter-chip serial communication in asynchronous circuits. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Shahzad Muzaffar, Ibrahim Abe M. Elfadel |
Power management of pulsed-index communication protocols. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chia-Yu Wu, Helmut Graeb, Jiang Hu |
A pre-search assisted ILP approach to analog integrated circuit routing. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | XianWei Zhang, Youtao Zhang, Jun Yang 0002 |
DLB: Dynamic lane borrowing for improving bandwidth and performance in Hybrid Memory Cube. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Parth Lathigara, Shankar Balachandran, Virendra Singh |
Application behavior aware re-reference interval prediction for shared LLC. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yanan Cao, Long Chen, Zhao Zhang 0010 |
Memory design for selective error protection. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Su Myat Min Shwe, Kapil Batra, Yusuke Yachide, Jorgen Peddersen, Sri Parameswaran |
RAPITIMATE: Rapid performance estimation of pipelined processing systems containing shared memory. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Hideyuki Ichihara, Tomoya Inaoka, Tsuyoshi Iwagaki, Tomoo Inoue |
Logic simplification by minterm complement for error tolerant application. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Rizwana Begum, Mark Hempstead |
Power-agility metrics: Measuring dynamic characteristics of energy proportionality. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Alberto Bocca, Alessandro Sassone, Alberto Macii, Enrico Macii, Massimo Poncino |
An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | XianWei Zhang, Lei Zhao, Youtao Zhang, Jun Yang 0002 |
Exploit common source-line to construct energy efficient domain wall memory based caches. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Augusto Vega, Chung-Ching Lin, Karthik Swaminathan, Alper Buyuktosunoglu, Sharathchandra Pankanti, Pradip Bose |
Resilient, UAV-embedded real-time computing. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ali Ahari, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori |
Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Tsung-Yi Ho, William H. Grover, Shiyan Hu, Krishnendu Chakrabarty |
Cyber-physical integration in programmable microfluidic biochips. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | |
33rd IEEE International Conference on Computer Design, ICCD 2015, New York City, NY, USA, October 18-21, 2015 |
ICCD |
2015 |
DBLP BibTeX RDF |
|
1 | Cristiana Bolchini, Gianluca C. Durelli, Antonio Miele, Gabriele Pallotta, Marco D. Santambrogio |
An orchestrated approach to efficiently manage resources in heterogeneous system architectures. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Garo Bournoutian, Alex Orailoglu |
Mobile ecosystem driven application-specific low-power control microarchitecture. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Soumya Banerjee 0004, Wenjing Rao |
On the conditions of guaranteed k-fault tolerant systems supporting on-the-fly repairs. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chen-Hsuan Lin, Subhendu Roy, Chun-Yao Wang, David Z. Pan, Deming Chen |
CSL: Coordinated and scalable logic synthesis techniques for effective NBTI reduction. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Xifan Tang, Pierre-Emmanuel Gaillardon, Giovanni De Micheli |
FPGA-SPICE: A simulation-based power estimation framework for FPGAs. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Eishi Arima, Hiroki Noguchi, Takashi Nakada, Shinobu Miwa, Susumu Takeda, Shinobu Fujita, Hiroshi Nakamura |
Immediate sleep: Reducing energy impact of peripheral circuits in STT-MRAM caches. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Khavari Tavana, Divya Pathak, Mohammad Hossein Hajkazemi, Maria Malik, Ioannis Savidis, Houman Homayoun |
Realizing complexity-effective on-chip power delivery for many-core platforms by exploiting optimized mapping. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Wei Zhang 0044, Hang Zhang 0031, John C. Lach |
Dynamic core scaling: Trading off performance and energy beyond DVFS. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | M. P. Jomu George, Otmane Aït Mohamed |
A methodology to generate evenly distributed input stimuli by clustering of variable domain. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana, Houman Homayoun |
Wide I/O or LPDDR? Exploration and analysis of performance, power and temperature trade-offs of emerging DRAM technologies in embedded MPSoCs. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Seth H. Pugsley, Arjun Deb, Rajeev Balasubramonian, Feifei Li 0001 |
Fixed-function hardware sorting accelerators for near data MapReduce execution. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Michael J. Hall, Roger D. Chamberlain |
Using M/G/l queueing models with vacations to analyze virtualized logic computations. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Manqing Mao, Yu Cao 0001, Shimeng Yu, Chaitali Chakrabarti |
Optimizing latency, energy, and reliability of 1T1R ReRAM through appropriate voltage settings. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | John Demme, Bipin Rajendran, Steven M. Nowick, Simha Sethumadhavan |
Increasing reconfigurability with memristive interconnects. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Umer I. Cheema, Gregory Nash, Rashid Ansari, Ashfaq A. Khokhar |
InvArch: A hardware eficient architecture for Matrix Inversion. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Sk Subidh Ali, Mohamed Ibrahim 0002, Ozgur Sinanoglu, Krishnendu Chakrabarty, Ramesh Karri |
Security implications of cyberphysical digital microfluidic biochips. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jordi Cortadella, Luciano Lavagno, Pedro Lopez, Marc Lupon, Alberto Moreno, Antoni Roca 0001, Sachin S. Sapatnekar |
Reactive clocks with variability-tracking jitter. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Zhiguo Liu, Ziyuan Zhu, Jinglin Shi, Jinbao Liu, Shiqiang Li |
A low power buffer-aided vector register file for LTE baseband signal processing. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Chongxi Bao, Ankur Srivastava 0001 |
3D Integration: New opportunities in defense against cache-timing side-channel attacks. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Rik Jongerius, Giovanni Mariani, Andreea Anghel, Gero Dittmann, Erik Vermij, Henk Corporaal |
Analytic processor model for fast design-space exploration. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Bicky Shakya, Ujjwal Guin, Mark M. Tehranipoor, Domenic Forte |
Performance optimization for on-chip sensors to detect recycled ICs. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Yufei Ma 0002, Minkyu Kim 0001, Yu Cao 0001, Jae-sun Seo, Sarma B. K. Vrudhula |
Energy-efficient reconstruction of compressively sensed bioelectrical signals with stochastic computing circuits. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Pietro Fezzardi, Michele Castellana, Fabrizio Ferrandi |
Trace-based automated logical debugging for high-level synthesis generated circuits. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Wang Zhou, Dhruv Nair, Oki Gunawan, Theodore G. van Kessel, Hendrik F. Hamann |
A testing platform for on-drone computation. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Mahanama Wickramasinghe, Hui Guo 0001 |
Effective hardware-level thread synchronization for high performance and power efficiency in application specific multi-threaded embedded processors. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Pouya Taatizadeh, Nicola Nicolici |
Emulation-based selection and assessment of assertion checkers for post-silicon validation. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Vinicius Callegaro, Felipe S. Marranghello, Mayler G. A. Martins, Renato P. Ribas, André Inácio Reis |
Bottom-up disjoint-support decomposition based on cofactor and boolean difference analysis. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Syed Kamran Haider, Masab Ahmad, Farrukh Hijaz, Astha Patni, Ethan Johnson, Matthew Seita, Omer Khan, Marten van Dijk |
M-MAP: Multi-factor memory authentication for secure embedded processors. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jakob Lechner, Andreas Steininger, Florian Huemer |
Methods for analysing and improving the fault resilience of delay-insensitive codes. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Santhosh Kumar Rethinagiri, Oscar Palomar, Javier Arias Moreno, Osman S. Unsal, Adrián Cristal |
VPM: Virtual power meter tool for low-power many-core/heterogeneous data center prototypes. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Jinwook Jung, Daijoon Hyun, Youngsoo Shin |
Physical synthesis of DNA circuits with spatially localized gates. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Satish Grandhi, David McCarthy, Christian Spagnol, Emanuel M. Popovici, Sorin Cotofana |
ROST-C: Reliability driven optimisation and synthesis techniques for combinational circuits. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Leo Filippini, Emre Salman, Baris Taskin |
A wirelessly powered system with charge recovery logic. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Li Jiang 0002, Xiangwei Huang, Hongfeng Xie, Qiang Xu 0001, Chao Li 0009, Xiaoyao Liang, Huiyun Li |
A novel TSV probing technique with adhesive test interposer. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Maria Malik, Houman Homayoun |
Big data on low power cores: Are low power embedded processors a good fit for the big data workloads? |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Masahiro Fujita |
Automatic identification of assertions and invariants with small numbers of test vectors. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Kaveh Shamsi, Yu Bi, Yier Jin, Pierre-Emmanuel Gaillardon, Michael T. Niemier, Xiaobo Sharon Hu |
Reliable and high performance STT-MRAM architectures based on controllable-polarity devices. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf |
A hardware-based multi-objective thread mapper for tiled manycore architectures. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Ji Wu 0006, Dezun Dong, Xiangke Liao, Wang Li 0003 |
Chameleon: Adaptive energy-efficient heterogeneous network-on-chip. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Alvaro Velasquez, Sumit Kumar Jha 0001 |
Fault-tolerant in-memory crossbar computing using quantified constraint solving. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Vinayaka Jyothi, Sateesh Addepalli, Ramesh Karri |
Deep Packet Field Extraction Engine (DPFEE): A pre-processor for network intrusion detection and denial-of-service detection systems. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Alen Bardizbanyan, Per Larsson-Edefors |
Exploring early and late ALUs for single-issue in-order pipelines. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Djordje Maksimovic, Andreas G. Veneris, Zissis Poulos |
Clustering-based revision debug in regression verification. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Pierce I-Jen Chuang, Manoj Sachdev, Vincent C. Gaudet |
VLSI implementation of high-throughput, low-energy, configurable MIMO detector. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
1 | Lei Xie 0005, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Koen Bertels, Said Hamdioui |
Fast boolean logic mapped on memristor crossbar. |
ICCD |
2015 |
DBLP DOI BibTeX RDF |
|
|
|