The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ICCD"( http://dblp.L3S.de/Venues/ICCD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/iccd

Publication years (Num. hits)
1988 (125) 1989 (116) 1990 (107) 1991 (128) 1992 (120) 1993 (114) 1994 (116) 1995 (97) 1996 (83) 1997 (104) 1998 (97) 1999 (102) 2000 (94) 2001 (89) 2002 (90) 2003 (92) 2004 (91) 2005 (116) 2006 (82) 2007 (94) 2008 (107) 2009 (81) 2010 (84) 2011 (84) 2012 (100) 2013 (82) 2014 (81) 2015 (115) 2016 (108) 2017 (111) 2018 (86) 2019 (93) 2020 (103) 2021 (88) 2022 (107) 2023 (89)
Publication types (Num. hits)
inproceedings(3546) proceedings(30)
Venues (Conferences, Journals, ...)
ICCD(3576)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1102 occurrences of 642 keywords

Results
Found 3576 publication records. Showing 3576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ahish Mysore Somashekar, Spyros Tragoudas, Rathish Jayabharathi Non-enumerative correlation-aware path selection. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Debapriya Basu Roy, Shivam Bhasin, Sylvain Guilley, Jean-Luc Danger, Debdeep Mukhopadhyay From theory to practice of private circuit: A cautionary note. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arseniy Vitkovskiy, Vassos Soteriou, Paul V. Gratz Clotho: Proactive wearout deceleration in Chip-Multiprocessor interconnects. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jeongjae Yu, Wooyoung Jang FDRAM: DRAM architecture flexible in successive row and column accesses. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Huajun Chen, Zichu Qi, Lin Wang, Chao Xu A scan chain optimization method for diagnosis. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ehsan Atoofian, Ahsan Saghir Shift-aware racetrack memory. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Khaled Z. Mahmoud, William E. Smith, Mark Fishkin, Timothy N. Miller Data-driven logic synthesizer for acceleration of Forward propagation in artificial neural networks. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jie Zhang 0048, Gieseo Park, Mustafa M. Shihab, David Donofrio, John Shalf, Myoungsoo Jung OpenNVM: An open-sourced FPGA-based NVM controller for low level memory characterization. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anil Kanduri, Mohammad Hashem Haghbayan, Amir-Mohammad Rahmani, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen Dark silicon aware runtime mapping for many-core systems: A patterning approach. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jiashen Li, Yun Pan A fast and energy efficient branch and bound algorithm for NoC task mapping. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1XianWei Zhang, Youtao Zhang, Jun Yang 0002 TriState-SET: Proactive SET for improved performance of MLC phase change memories. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dawei Li, Siddhartha Joshi, Seda Ogrenci Memik, James Hoff, Sergo Jindariani, Tiehui Liu 0001, Jamieson Olsen, Nhan Tran A methodology for power characterization of associative memories. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Raphael Viguier, Chung-Ching Lin, Karthik Swaminathan, Augusto Vega, Alper Buyuktosunoglu, Sharathchandra Pankanti, Pradip Bose, H. Akbarpour, Filiz Bunyak, Kannappan Palaniappan, Guna Seetharaman Resilient mobile cognition: Algorithms, innovations, and architectures. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David A. Penry Improving the interface performance of synthesized structural FAME simulators through scheduling. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Benjamin C. Lee Applied statistical inference for system design and management. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jude Angelo Ambrose, Yusuke Yachide, Kapil Batra, Jorgen Peddersen, Sri Parameswaran Sequential C-code to distributed pipelined heterogeneous MPSoC synthesis for streaming applications. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cesar Gomes, Mark Hempstead Combative cache efficacy techniques: Cache replacement in the context of independent prefetching in last level cache. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bo Mao, Suzhen Wu Exploiting request characteristics and internal parallelism to improve SSD performance. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hamid Reza Ghasemi, Ulya R. Karpuzcu, Nam Sung Kim Comparison of single-ISA heterogeneous versus wide dynamic range processors for mobile applications. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joao Marcos de Aguiar, Sunil P. Khatri Exploring the viability of stochastic computing. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chao Luo, Yunsi Fei, Pei Luo, Saoni Mukherjee, David R. Kaeli Side-channel power analysis of a GPU AES implementation. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Cheng Xue, R. D. (Shawn) Blanton A one-pass test-selection method for maximizing test coverage. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gustavo A. Chaparro-Baquero, Soamar Homsi, Omara Vichot, Shaolei Ren, Gang Quan, Shangping Ren Cache allocation for fixed-priority real-time scheduling on multi-core platforms. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yanwei Song, Mahdi Nazm Bojnordi, Engin Ipek Energy-efficient data movement with sparse transition encoding. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Valeria Bertacco, Wade Bonkowski ItHELPS: Iterative high-accuracy error localization in post-silicon. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Paul Otto, Maria Malik, Nima Akhlaghi, Rebel Sequeira, Houman Homayoun, Siddhartha Sikdar Power and performance characterization, analysis and tuning for energy-efficient edge detection on atom and ARM based platforms. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniele Jahier Pagliari, Andrea Calimera, Enrico Macii, Massimo Poncino An automated design flow for approximate circuits based on reduced precision redundancy. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yiren Shen, Jiang Hu GPU acceleration for PCA-based statistical static timing analysis. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daniele Jahier Pagliari, Mario R. Casu, Luca P. Carloni Acceleration of microwave imaging algorithms for breast cancer detection via High-Level Synthesis. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Karthikeyan P. Saravanan, Paul M. Carpenter, Alex Ramírez Exploring multiple sleep modes in on/off based energy efficient HPC networks. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Felipe S. Marranghello, Vinicius Callegaro, André Inácio Reis, Renato P. Ribas SOP based logic synthesis for memristive IMPLY stateful logic. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuan He 0002, Masaaki Kondo, Takashi Nakada, Hiroshi Sasaki 0001, Shinobu Miwa, Hiroshi Nakamura Runtime multi-optimizations for energy efficient on-chip interconnections1. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sudarshan Srinivasan, Israel Koren, Sandip Kundu Online mechanism for reliability and power-efficiency management of a dynamically reconfigurable core. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sudhanshu Shukla, Mainak Chaudhuri Pool directory: Efficient coherence tracking with dynamic directory allocation in many-core systems. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Doyun Kim, Jiangyi Li, Mingoo Seok Energy-optimal voltage model supporting a wide range of nodal switching rates for early design-space exploration. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrew D. Targhetta, Donald E. Owen, Francis L. Israel, Paul V. Gratz Energy-efficient implementations of GF (p) and GF(2m) elliptic curve cryptography. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuxin Bai, Yanwei Song, Mahdi Nazm Bojnordi, Alexander E. Shapiro, Engin Ipek, Eby G. Friedman Architecting a MOS current mode logic (MCML) processor for fast, low noise and energy-efficient computing in the near-threshold regime. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Si Wu 0003, Yinlong Xu, Yongkun Li 0001, Yunfeng Zhu POS: A Popularity-based Online Scaling scheme for RAID-structured storage systems. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Todd M. Austin Keynote talk I: Ending the Tyranny of Amdahl's Law. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chang Song, Lei Ju 0001, Zhiping Jia Hybrid scratchpad and cache memory management for energy-efficient parallel HEVC encoding. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tomohiro Yoneda, Masashi Imai A new encoding mechanism for low power inter-chip serial communication in asynchronous circuits. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shahzad Muzaffar, Ibrahim Abe M. Elfadel Power management of pulsed-index communication protocols. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chia-Yu Wu, Helmut Graeb, Jiang Hu A pre-search assisted ILP approach to analog integrated circuit routing. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1XianWei Zhang, Youtao Zhang, Jun Yang 0002 DLB: Dynamic lane borrowing for improving bandwidth and performance in Hybrid Memory Cube. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Parth Lathigara, Shankar Balachandran, Virendra Singh Application behavior aware re-reference interval prediction for shared LLC. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yanan Cao, Long Chen, Zhao Zhang 0010 Memory design for selective error protection. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Su Myat Min Shwe, Kapil Batra, Yusuke Yachide, Jorgen Peddersen, Sri Parameswaran RAPITIMATE: Rapid performance estimation of pipelined processing systems containing shared memory. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hideyuki Ichihara, Tomoya Inaoka, Tsuyoshi Iwagaki, Tomoo Inoue Logic simplification by minterm complement for error tolerant application. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rizwana Begum, Mark Hempstead Power-agility metrics: Measuring dynamic characteristics of energy proportionality. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alberto Bocca, Alessandro Sassone, Alberto Macii, Enrico Macii, Massimo Poncino An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1XianWei Zhang, Lei Zhao, Youtao Zhang, Jun Yang 0002 Exploit common source-line to construct energy efficient domain wall memory based caches. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Augusto Vega, Chung-Ching Lin, Karthik Swaminathan, Alper Buyuktosunoglu, Sharathchandra Pankanti, Pradip Bose Resilient, UAV-embedded real-time computing. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Ahari, Mojtaba Ebrahimi, Fabian Oboril, Mehdi Baradaran Tahoori Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tsung-Yi Ho, William H. Grover, Shiyan Hu, Krishnendu Chakrabarty Cyber-physical integration in programmable microfluidic biochips. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 33rd IEEE International Conference on Computer Design, ICCD 2015, New York City, NY, USA, October 18-21, 2015 Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  BibTeX  RDF
1Cristiana Bolchini, Gianluca C. Durelli, Antonio Miele, Gabriele Pallotta, Marco D. Santambrogio An orchestrated approach to efficiently manage resources in heterogeneous system architectures. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Garo Bournoutian, Alex Orailoglu Mobile ecosystem driven application-specific low-power control microarchitecture. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Soumya Banerjee 0004, Wenjing Rao On the conditions of guaranteed k-fault tolerant systems supporting on-the-fly repairs. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chen-Hsuan Lin, Subhendu Roy, Chun-Yao Wang, David Z. Pan, Deming Chen CSL: Coordinated and scalable logic synthesis techniques for effective NBTI reduction. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xifan Tang, Pierre-Emmanuel Gaillardon, Giovanni De Micheli FPGA-SPICE: A simulation-based power estimation framework for FPGAs. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Eishi Arima, Hiroki Noguchi, Takashi Nakada, Shinobu Miwa, Susumu Takeda, Shinobu Fujita, Hiroshi Nakamura Immediate sleep: Reducing energy impact of peripheral circuits in STT-MRAM caches. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Khavari Tavana, Divya Pathak, Mohammad Hossein Hajkazemi, Maria Malik, Ioannis Savidis, Houman Homayoun Realizing complexity-effective on-chip power delivery for many-core platforms by exploiting optimized mapping. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0044, Hang Zhang 0031, John C. Lach Dynamic core scaling: Trading off performance and energy beyond DVFS. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1M. P. Jomu George, Otmane Aït Mohamed A methodology to generate evenly distributed input stimuli by clustering of variable domain. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana, Houman Homayoun Wide I/O or LPDDR? Exploration and analysis of performance, power and temperature trade-offs of emerging DRAM technologies in embedded MPSoCs. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seth H. Pugsley, Arjun Deb, Rajeev Balasubramonian, Feifei Li 0001 Fixed-function hardware sorting accelerators for near data MapReduce execution. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michael J. Hall, Roger D. Chamberlain Using M/G/l queueing models with vacations to analyze virtualized logic computations. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manqing Mao, Yu Cao 0001, Shimeng Yu, Chaitali Chakrabarti Optimizing latency, energy, and reliability of 1T1R ReRAM through appropriate voltage settings. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1John Demme, Bipin Rajendran, Steven M. Nowick, Simha Sethumadhavan Increasing reconfigurability with memristive interconnects. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Umer I. Cheema, Gregory Nash, Rashid Ansari, Ashfaq A. Khokhar InvArch: A hardware eficient architecture for Matrix Inversion. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sk Subidh Ali, Mohamed Ibrahim 0002, Ozgur Sinanoglu, Krishnendu Chakrabarty, Ramesh Karri Security implications of cyberphysical digital microfluidic biochips. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jordi Cortadella, Luciano Lavagno, Pedro Lopez, Marc Lupon, Alberto Moreno, Antoni Roca 0001, Sachin S. Sapatnekar Reactive clocks with variability-tracking jitter. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhiguo Liu, Ziyuan Zhu, Jinglin Shi, Jinbao Liu, Shiqiang Li A low power buffer-aided vector register file for LTE baseband signal processing. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chongxi Bao, Ankur Srivastava 0001 3D Integration: New opportunities in defense against cache-timing side-channel attacks. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rik Jongerius, Giovanni Mariani, Andreea Anghel, Gero Dittmann, Erik Vermij, Henk Corporaal Analytic processor model for fast design-space exploration. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bicky Shakya, Ujjwal Guin, Mark M. Tehranipoor, Domenic Forte Performance optimization for on-chip sensors to detect recycled ICs. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yufei Ma 0002, Minkyu Kim 0001, Yu Cao 0001, Jae-sun Seo, Sarma B. K. Vrudhula Energy-efficient reconstruction of compressively sensed bioelectrical signals with stochastic computing circuits. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pietro Fezzardi, Michele Castellana, Fabrizio Ferrandi Trace-based automated logical debugging for high-level synthesis generated circuits. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wang Zhou, Dhruv Nair, Oki Gunawan, Theodore G. van Kessel, Hendrik F. Hamann A testing platform for on-drone computation. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mahanama Wickramasinghe, Hui Guo 0001 Effective hardware-level thread synchronization for high performance and power efficiency in application specific multi-threaded embedded processors. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pouya Taatizadeh, Nicola Nicolici Emulation-based selection and assessment of assertion checkers for post-silicon validation. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vinicius Callegaro, Felipe S. Marranghello, Mayler G. A. Martins, Renato P. Ribas, André Inácio Reis Bottom-up disjoint-support decomposition based on cofactor and boolean difference analysis. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Syed Kamran Haider, Masab Ahmad, Farrukh Hijaz, Astha Patni, Ethan Johnson, Matthew Seita, Omer Khan, Marten van Dijk M-MAP: Multi-factor memory authentication for secure embedded processors. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jakob Lechner, Andreas Steininger, Florian Huemer Methods for analysing and improving the fault resilience of delay-insensitive codes. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Santhosh Kumar Rethinagiri, Oscar Palomar, Javier Arias Moreno, Osman S. Unsal, Adrián Cristal VPM: Virtual power meter tool for low-power many-core/heterogeneous data center prototypes. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jinwook Jung, Daijoon Hyun, Youngsoo Shin Physical synthesis of DNA circuits with spatially localized gates. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Satish Grandhi, David McCarthy, Christian Spagnol, Emanuel M. Popovici, Sorin Cotofana ROST-C: Reliability driven optimisation and synthesis techniques for combinational circuits. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Leo Filippini, Emre Salman, Baris Taskin A wirelessly powered system with charge recovery logic. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Li Jiang 0002, Xiangwei Huang, Hongfeng Xie, Qiang Xu 0001, Chao Li 0009, Xiaoyao Liang, Huiyun Li A novel TSV probing technique with adhesive test interposer. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Maria Malik, Houman Homayoun Big data on low power cores: Are low power embedded processors a good fit for the big data workloads? Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita Automatic identification of assertions and invariants with small numbers of test vectors. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kaveh Shamsi, Yu Bi, Yier Jin, Pierre-Emmanuel Gaillardon, Michael T. Niemier, Xiaobo Sharon Hu Reliable and high performance STT-MRAM architectures based on controllable-polarity devices. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf A hardware-based multi-objective thread mapper for tiled manycore architectures. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ji Wu 0006, Dezun Dong, Xiangke Liao, Wang Li 0003 Chameleon: Adaptive energy-efficient heterogeneous network-on-chip. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alvaro Velasquez, Sumit Kumar Jha 0001 Fault-tolerant in-memory crossbar computing using quantified constraint solving. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vinayaka Jyothi, Sateesh Addepalli, Ramesh Karri Deep Packet Field Extraction Engine (DPFEE): A pre-processor for network intrusion detection and denial-of-service detection systems. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alen Bardizbanyan, Per Larsson-Edefors Exploring early and late ALUs for single-issue in-order pipelines. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Djordje Maksimovic, Andreas G. Veneris, Zissis Poulos Clustering-based revision debug in regression verification. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pierce I-Jen Chuang, Manoj Sachdev, Vincent C. Gaudet VLSI implementation of high-throughput, low-energy, configurable MIMO detector. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lei Xie 0005, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Koen Bertels, Said Hamdioui Fast boolean logic mapped on memristor crossbar. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 3576 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license