The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Wei Wang 0082 Automated Shmoo data analysis: A machine learning approach. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sachin Agrawal, Sunil Kumar Pandey, Jawar Singh, Manoj Singh Parihar Realization of efficient RF energy harvesting circuits employing different matching technique. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Keunwoo Kim, Rouwaida Kanj, Rajiv V. Joshi Impact of FinFET technology for power gating in nano-scale design. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peyman Pouyan, Esteve Amat, Enrique Barajas, Antonio Rubio 0001 Impact of adaptive proactive reconfiguration technique on Vmin and lifetime of SRAM caches. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jacob Murray, Paul Wettin, Ryan Gary Kim, Xinmin Yu, Partha Pratim Pande, Behrooz A. Shirazi, Deuk Hyoun Heo Thermal hotspot reduction in mm-Wave wireless NoC architectures. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Siddhartha Nath Optimal reliability-constrained overdrive frequency selection in multicore systems. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sabine Francis, Rouwaida Kanj, Rajiv V. Joshi, Ayman I. Kayssi, Ali Chehab Statistical methodology for modeling non-IID memory fails events. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Takashi Sato, Junya Kawashima, Hiroshi Tsutsui, Hiroyuki Ochi Experimental validation of minimum operating-voltage-estimation for low supply voltage circuits. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kai-Hui Chang, Yen-Ting Liu, Chris Browy Automated methods for eliminating X bugs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dinesh Ganta, Leyla Nazhandali Study of IC aging on ring oscillator physical unclonable functions. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amir Momeni, Perhaad Mistry, David R. Kaeli A parallel clustering algorithm for placement. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chessda Uttraphan, Nasir Shaikh-Husin, Mohamed Khalil Hani An optimization algorithm for simultaneous routing and buffer insertion with delay-power constraints in VLSI layout design. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mukta Singh Parihar, Abhinav Kranti Volume accumulated double gate junctionless MOSFETs for low power logic technology applications. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anup Shrivastava, Jawar Singh Dual-sided doped memristor and it's SPICE modelling for improved electrical properties. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Hyein Lee 0001 Timing margin recovery with flexible flip-flop timing model. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yijie Huangfu, Wei Zhang 0002 Compiler-directed leakage energy reduction for instruction scratch-pad memories. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alexandra Aguiar, Carlos Moratelli, Marcos Sartori, Fabiano Hessel Adding virtualization support in MIPS 4Kc-based MPSoCs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jarbas Silveira, Paulo César Cortez, Giovanni Cordeiro Barroso, César A. M. Marcon Employing a Timed Colored Petri Net to accomplish an accurate model for Network-on-Chip performance evaluation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li 0002 NOLO: A no-loop, predictive useful skew methodology for improved timing in IC implementation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tomohiro Fujita, SinNyoung Kim, Hidetoshi Onodera Computer simulation of radiation-induced clock-perturbation in phase-locked loop with analog behavioral model. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mehrdad Khatir, Leyla Nazhandali Sense Amplifier Pass Transistor Logic for energy efficient and DPA-resistant AES circuit. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kamran Rahmani, Prabhat Mishra 0001, Sandip Ray Efficient trace signal selection using augmentation and ILP techniques. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hu Chen, Sanghamitra Roy, Koushik Chakraborty Exploiting static and dynamic locality of timing errors in robust L1 cache design. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ming Fan 0001, Qiushi Han, Gang Quan, Shangping Ren Multi-core partitioned scheduling for fixed-priority periodic real-time tasks with enhanced RBound. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yanqing Zhang 0002, Benton H. Calhoun Fast, accurate variation-aware path timing computation for sub-threshold circuits. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jacqueline Woods, Sridhar Iyengar, Amit Sinha, Subhasish Mitra, Stacy Cannady A new era of computing: Are you "ready now" to build a smarter and secured enterprise? Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eduardo Wächter, Augusto Erichsen, Leonardo Juracy, Alexandre M. Amory, Fernando Moraes 0001 Runtime fault recovery protocol for NoC-based MPSoCs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Parag Kulkarni, Puneet Gupta 0001, Rudy Beraha Minimizing clock domain crossing in Network on Chip interconnect. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Senthil Arasu, Mehrdad Nourani, Frank Cano, John M. Carulli, Vijay Reddy Asymmetric aging of clock networks in power efficient designs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Arijit Banerjee 0002, Mahmut E. Sinangil, John W. Poulton, C. Thomas Gray, Benton H. Calhoun A reverse write assist circuit for SRAM dynamic write VMIN tracking using canary SRAMs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Syed Rameez Naqvi, Jakob Lechner, Andreas Steininger Protection of Muller-Pipelines from transient faults. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Di-An Li, Malgorzata Marek-Sadowska Estimating true worst currents for power grid electromigration analysis. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rajendra Bishnoi, Fabian Oboril, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori Avoiding unnecessary write operations in STT-MRAM for low power implementation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mark Buckler, Wayne P. Burleson Predictive synchronization for DVFS-enabled multi-processor systems. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Varadan Savulimedu Veeravalli, Andreas Steininger, Ulrich Schmid 0001 Measuring SET pulsewidths in logic gates using digital infrastructure. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sandeep Shambhulingaiah, Srivatsan Chellappa, Sushil Kumar, Lawrence T. Clark Methodology to optimize critical node separation in hardened flip-flops. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Darshan Gandhi, Andreas Gerstlauer, Lizy K. John FastSpot: Host-compiled thermal estimation for early design space exploration. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mitsuhiko Igarashi, Hideki Aono, Hideaki Abe, Koji Shibutani, Kan Takeuchi Assessment of reliability impact on GHz processors with moderate overdrive. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sandeep Koranne Constructing small-signal equivalent impedances using ellipsoidal norms. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Arunkumar Vijayakumar, Vinay C. Patil, Girish Paladugu, Sandip Kundu On pattern generation for maximizing IR drop. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vikram B. Suresh, Wayne P. Burleson Fine grained wearout sensing using metastability resolution time. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Florin Balasa, Noha Abuaesh, Cristian V. Gingu, Ilie I. Luican, Doru V. Nasui Energy-aware scratch-pad memory partitioning for embedded systems. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Miroslav N. Velev, Ping Gao 0002 Formal verification of safety of polymorphic heterogeneous multi-core architectures. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Halil Kukner, Moustafa A. Khatib, Sebastien Morrison, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken Degradation analysis of datapath logic subblocks under NBTI aging in FinFET technology. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammad A. Ahmed, Malgorzata Chrzanowska-Jeske Delay and power optimization with TSV-aware 3D floorplanning. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Binghao Bao, Jörg Bormann, Markus Wedler, Dominik Stoffel, Wolfgang Kunz Coverage of compositional property sets under reactive constraints. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sharayu Jagtap, Sivaramakrishna Rudrapati, Shalabh Gupta Design of radiation hardened wide tuning range CMOS oscillators. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhong Guan, Malgorzata Marek-Sadowska, Sani R. Nassif Statistical analysis of process variation induced SRAM electromigration degradation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sung S.-Y. Hsueh, Ryan H.-M. Huang, Charles H.-P. Wen TASSER: A temperature-aware statistical soft-error-rate analysis framework for combinational circuits. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rafael M. Madeira, Edna Barros, Camila Ascendina Towards more reliable embedded systems through a mechanism for monitoring driver devices communication. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ying Zhang 0016, Lide Duan, Bin Li 0008, Lu Peng 0001, Sadagopan Srinivasan Energy efficient job scheduling in single-ISA heterogeneous chip-multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014 Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  BibTeX  RDF
1Tiansong Cui, Shuang Chen 0001, Yanzhi Wang, Shahin Nazarian, Massoud Pedram An efficient semi-analytical current source model for FinFET devices in near/sub-threshold regime considering multiple input switching and stack effect. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Heesun Kim, Seungyun Sohn, Yoonjin Kim Ring-based sharing fabric for efficient pipelining of kernel-stream on CGRA-based multi-core architecture. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Harald Steiner, Wilfried Hortschitz, Franz Keplinger, Thilo Sauter Topology optimization of a passive thermal actuator. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xue Lin, Yanzhi Wang, Shahin Nazarian, Massoud Pedram An improved logical effort model and framework applied to optimal sizing of circuits operating in multiple supply voltage regimes. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Prasanjeet Das, Sandeep K. Gupta 0001 Efficient post-silicon validation via segmentation of process variation envelope - Global vs local variations. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hsuan-Ming Chou, Hong-Chang Wu, Yi-Chiao Chen, Shih-Chieh Chang Concurrency-oriented SoC re-certification by reusing block-level test vectors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kartikeya Bhardwaj, Pravin S. Mane, Jörg Henkel Power- and area-efficient Approximate Wallace Tree Multiplier for error-resilient systems. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Eman El Mandouh Application of six-sigma DMAIC methodology in the evaluation of test effectiveness: A case study for EDA tools. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tianyi Wang, Ming Fan 0001, Gang Quan, Shangping Ren Heterogeneity exploration for peak temperature reduction on multi-core platforms. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hidehiro Fujiwara, Makoto Yabuuchi, Koji Nii Assessing uniqueness and reliability of SRAM-based Physical Unclonable Functions from silicon measurements in 45-nm bulk CMOS. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yoshisato Yokoyama, Yuichiro Ishii, Hidemitsu Kojima, Atsushi Miyanishi, Yoshiki Tsujihashi, Shinobu Asayama, Kazutoshi Shiba, Koji Tanaka, Tatsuya Fukuda, Koji Nii, Kazumasa Yanagisawa 40nm Ultra-low leakage SRAM at 170 deg.C operation for embedded flash MCU. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ying-Chi Li, Sheldon X.-D. Tan, Tan Yu, Xin Huang 0003, Ngai Wong Direct finite-element-based solver for 3D-IC thermal analysis via H-matrix representation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ping Chi, Cong Xu, Xiaochun Zhu, Yuan Xie 0001 Building energy-efficient multi-level cell STT-MRAM based cache through dynamic data-resistance encoding. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Varadan Savulimedu Veeravalli, Andreas Steininger Architecture for monitoring SET propagation in 16-bit Sklansky adder. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Komal Singh, Chitrakant Sahu, Jawar Singh Linearly separable pattern classification using memristive crossbar circuits. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Santhosh Kumar Rethinagiri, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Rabie Ben Atitallah, Smaïl Niar PETS: Power and energy estimation tool at system-level. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hyungjung Seo, Taewhan Kim Post-silicon tunable clock buffer allocation based on fast chip yield computation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hasan Sohofi, Zainalabedin Navabi Assertion-based verification for system-level designs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mir Mohammad Navidi, Gyung-Su Byun Comparative analysis of clock distribution networks for TSV-based 3D IC designs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amir Masoud Gharehbaghi, Masahiro Fujita Specification and formal verification of power gating in processors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abhilash Goyal, Madhavan Swaminathan, Abhijit Chatterjee 3D-ICs with self-healing capability for thermal effects in RF circuits. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shirish Bahirat, Sudeep Pasricha HELIX: Design and synthesis of hybrid nanophotonic application-specific network-on-chip architectures. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chongxi Bao, Domenic Forte, Ankur Srivastava 0001 On application of one-class SVM to reverse engineering-based hardware Trojan detection. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yohei Nakata, Yuta Kimi, Shunsuke Okumura, Jinwook Jung, Takuya Sawada, Taku Toshikawa, Makoto Nagata, Hirofumi Nakano, Makoto Yabuuchi, Hidehiro Fujiwara, Koji Nii, Hiroyuki Kawai, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 40-nm resilient cache memory for dynamic variation tolerance with bit-enhancing memory and on-chip diagnosis structures delivering ×91 failure rate improvement. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Md. Abir Khan, Saraju P. Mohanty, Elias Kougianos Statistical process variation analysis of a graphene FET based LC-VCO for WLAN applications. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bo Liu, Maryam Ashouei, Tobias Gemmeke, José Pineda de Gyvez Sub-threshold custom standard cell library validation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ting Chen, Xiaowei Pan, Hengzhu Liu, Tiebin Wu Rapid prototype and implementation of a high-throughput and flexible FFT ASIP based on LISA 2.0. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oghenekarho Okobiah, Saraju P. Mohanty, Elias Kougianos Kriging bootstrapped neural network training for fast and accurate process variation analysis. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jiyuan Luan, Michael DiVita An integrated precision clock generator for implanted electronics with superior long-term stability. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Song Yao, Xiaoming Chen 0003, Yu Wang 0002, Yuchun Ma, Yuan Xie 0001, Huazhong Yang Efficient region-aware P/G TSV planning for 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tejasi Pimpalkhute, Sudeep Pasricha An application-aware heterogeneous prioritization framework for NoC based chip multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abhishek A. Sinkar, Hao Wang 0011, Nam Sung Kim Maximizing throughput of power/thermal-constrained processors by balancing power consumption of cores. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yao Li 0009, Ramy Iskander, Marie-Minerve Louërat Modeling, design and verification platform using SystemC AMS. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sudhi Proch, Prabhat Mishra 0001 Directed test generation for hybrid systems. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matheus T. Moreira, Julian J. H. Pontes, Ney Laert Vilar Calazans Tradeoffs between RTO and RTZ in WCHB QDI asynchronous design. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seong-I Lei, Chris Chu, Wai-Kei Mak Double patterning-aware detailed routing with mask usage balancing. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seunghan Lee, Kyungsu Kang, Jongpil Jung, Chong-Min Kyung Runtime 3-D stacked cache data management for energy minimization of 3-D chip-multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xue Lin, Yanzhi Wang, Massoud Pedram Stack sizing analysis and optimization for FinFET logic cells and circuits operating in the sub/near-threshold regime. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chenyun Pan, Saibal Mukhopadhyay, Azad Naeemi An analytical approach to system-level variation analysis and optimization for multi-core processor. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kyungsu Kang, Giovanni De Micheli, Seunghan Lee, Chong-Min Kyung Temperature-aware runtime power management for chip-multiprocessors with 3-D stacked cache. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hsin-Hung Liu, Rung-Bin Lin, I-Lun Tseng Relocatable and resizable SRAM synthesis for via configurable structured ASIC. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Noemie Beringuier-Boher, David Hély, Vincent Beroulle, Joel Damiens, Philippe Candelier Increasing the security level of analog IPs by using a dedicated vulnerability analysis methodology. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Conor O'Sullivan, Peter M. Levine, Siddharth Garg Vertically-addressed test structures (VATS) for 3D IC variability and stress measurements. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jordan Bisasky, Houman Homayoun, Farhang Yazdani, Tinoosh Mohsenin A 64-core platform for biomedical signal processing. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Matthew Cotter, Huichu Liu, Suman Datta, Vijaykrishnan Narayanan Evaluation of tunnel FET-based flip-flop designs for low power, high performance applications. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Richard Lee, Karim Abdel-Khalek, Samar Abdi, Frederic Risacher Early system level modeling of real-time applications on embedded platforms. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nozad Karim New electrical design verification approach for 2.5D/3D package signal and power integrity. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bahram N. Uchevler, Kjetil Svarstad, Jan Kuper, Christiaan Baaij System-level modelling of dynamic reconfigurable designs using functional programming abstractions. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license