The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Xinfei Guo, Mircea R. Stan Implications of accelerated self-healing as a key design knob for cross-layer resilience. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Milad Kaboli, Behzad Ghanavati, Majid Akhlaghi A new CMOS pseudo approximation exponential function generator by modified particle swarm optimization algorithm. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Adam Kostrzewa, Selma Saidi, Leonardo Ecco, Rolf Ernst Ensuring safety and efficiency in networks-on-chip. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aijiao Cui, Yanhui Luo, Huawei Li 0001, Gang Qu 0001 Why current secure scan designs fail and how to fix them? Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Yasmin Afsharnezhad, Elham Zahraie Salehi CL-CPA: A hybrid carry-lookahead/carry-propagate adder for low-power or high-performance operation mode. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Navid Rahmanikia, Amirali Amiri, Hamid Noori, Farhad Mehdipour Performance evaluation metrics for ring-oscillator-based temperature sensors on FPGAs: A quality factor. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alak Majumder, Abir J. Mondal, Bidyut K. Bhattacharyya Threshold adjustment of receiver chip to achieve a data rate >66 Gbit/sec in point to point interconnect. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Can Baltaci, Yusuf Leblebici Thermal aware design and comparative analysis of a high performance 64-bit adder in FD-SOI and bulk CMOS technologies. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ahmad Rahati Belabad, Seyed Ahmad Motamedi, Saeed Sharifian An adaptive digital predistortion for compensating nonlinear distortions in RF power amplifier with memory effects. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maryam Dehbashian, Mohammad Maymandi-Nejad A new hybrid algorithm for analog ICs optimization based on the shrinking circles technique. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Robert DiBiano, Supratik Mukhopadhyay Automated diagnostics for manufacturing machinery based on well-regularized deep neural networks. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Saraju P. Mohanty, Ashok Srivastava, Shiyan Hu, Prasun Ghosal Guest editorial - Special issue on hardware assisted techniques for IoT and bigdata applications. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Héctor Gómez, Óscar Reyes, Elkim Roa A 65 nm CMOS key establishment core based on tree parity machines. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jie He 0001, Liyuan Xu, Peng Wang 0020, Qin Wang 0004 A high precise E-nose for daily indoor air quality monitoring in living environment. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1K. Sudeendra Kumar, G. Hanumanta Rao, Sauvagya Ranjan Sahoo, Kamala Kanta Mahapatra Secure split test techniques to prevent IC piracy for IoT devices. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Carlos Aristoteles De la Cruz-Blas, G. Thomas-Erviti, José María Algueta-Miguel, Antonio J. López-Martín CMOS analogue current-mode multiplier/divider circuit operating in triode-saturation with bulk-driven techniques. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chih-Cheng Hsu, Masanori Hashimoto, Mark Po-Hung Lin Minimizing detection-to-boosting latency toward low-power error-resilient circuits. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maura Casciola, Micaela Liberti, Agnese Denzi, Alessandra Paffi, Caterina Merla, Francesca Apollonio A computational design of a versatile microchamber for in vitro nanosecond pulsed electric fields experiments. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Giulia Di Capua, Nicola Femia, Kateryna Stoyka A generalized numerical method for ferrite inductors analysis in high current ripple operation. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Deokjin Joo, Taewhan Kim Clock buffer polarity assignment under useful skew constraints. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nalesh Sivanandan, Kavitha T. Madhu, Saptarsi Das, S. K. Nandy 0001, Ranjani Narayan Energy aware synthesis of application kernels through composition of data-paths on a CGRA. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei Jin 0004, Guanghui He, Weifeng He, Zhigang Mao A 12-bit 4928 × 3264 pixel CMOS image signal processor for digital still cameras. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Taher Kourany, Maged Ghoneima, Emad Hegazi, Yehea Ismail PASSIOT: A Pareto-optimal multi-objective optimization approach for synthesis of analog circuits using Sobol' indices-based engine. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Patrick W. C. Ho, Haider Abbas F. Almurib, T. Nandha Kumar Configurable memristive logic block for memristive-based FPGA architectures. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sauvagya Ranjan Sahoo, K. Sudeendra Kumar, Kamalakanta Mahapatra A novel current controlled configurable RO PUF with improved security metrics. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maryam Dehbashian, Mohammad Maymandi-Nejad Co-AGSA: An efficient self-adaptive approach for constrained optimization of analog IC based on the shrinking circles technique. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhou Zhao, Ashok Srivastava, Lu Peng 0001, Shaoming Chen, Saraju P. Mohanty A novel switchable pin method for regulating power in chip-multiprocessor. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Y. Zhang, Rostislav (Reuven) Dobkin, Aharon Unikovski, Danniel Nahmanny, Goel Samuel, Michael Moyal, Ran Ginosar A 1.4×FO4 self-clocked asynchronous serial link in 0.18 µm for intrachip communication. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Travis Meade, Shaojie Zhang, Yier Jin IP protection through gate-level netlist security enhancement. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ahmet Kakacak, Aydin Emre Guzel, Ozan Cihangir, Sezer Gören 0001, H. Fatih Ugurdag Fast Multiplier Generator for FPGAs with LUT based Partial Product Generation and Column/row Compression. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gunti Nagendra Babu, Karthikeyan Lingasubramanian Effective usage of redundancy to aid neutralization of hardware Trojans in Integrated Circuits. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arighna Deb, Robert Wille, Oliver Keszöcze, Saeideh Shirinzadeh, Rolf Drechsler Synthesis of optical circuits using binary decision diagrams. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andreas Tsimpos, Andreas Christos Demartinos, Spyridon Vlassis, George Souliotis Jitter tolerance calibration for high-speed serial interfaces. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rajit Karmakar, Santanu Chattopadhyay Temperature and data size trade-off in dictionary based test data compression. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zbigniew Hajduk Simple method of asynchronous circuits implementation in commercial FPGAs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mahya Sam Daliri, Reza Faghih Mirzaee, Keivan Navi, Nader Bagherzadeh High-performance ternary operators for scrambling. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yuan Xue, Chengmo Yang Path reuse-aware routing for non-volatile memory based FPGAs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Durgesh Nandan, Jitendra Kanungo, Anurag Mahajan An efficient VLSI architecture design for logarithmic multiplication by using the improved operand decomposition. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wazir Singh, Ankita Shukla, Sujay Deb, Angshul Majumdar Energy efficient EEG acquisition and reconstruction for a Wireless Body Area Network. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zongwei Li, Xingyin Xiong, Xiong Liu, Kedu Han, Ning Cong, Changchun Yang Design of a high precision digital interface circuit for capacitive MEMS accelerometers with floating point ADC. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1George K. Papakonstantinou Exclusive or Sum of Complex Terms expressions minimization. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siraj Fulum Mossa, Syed Rafay Hasan, Omar S. Elkeelany Self-triggering hardware trojan: Due to NBTI related aging in 3-D ICs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tao Liu, Hui Guo 0001, Sri Parameswaran, Xiaobo Sharon Hu iCETD: An improved tag generation design for memory data authentication in embedded processor systems. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Behnam Khodabandeloo, Ahmad Khonsari, Masoomeh Jasemi, Golnaz Taheri A fast temperature-aware fixed-outline floorplanning framework using convex optimization. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lu Wang 0019, Sheng Ma, Chen Li 0015, Wei Chen 0009, Zhiying Wang 0003 A high performance reliable NoC router. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jubin Mitra, Tapan Kumar Nayak Reconfigurable very high throughput low latency VLSI (FPGA) design architecture of CRC 32. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hongmei Chen 0005, Yunsheng Pan, Yongsheng Yin, Fujiang Lin All-digital background calibration technique for timing mismatch of time-interleaved ADCs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ioannis Koutras, Konstantinos Maragos 0001, Dionysios Diamantopoulos, Kostas Siozios, Dimitrios Soudris On supporting rapid prototyping of embedded systems with reconfigurable architectures. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joohan Kim, Taewhan Kim Boundary optimization of buffered clock trees for low power. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jonathan Frey, Qiaoyan Yu A hardened network-on-chip design using runtime hardware Trojan mitigation methods. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hengfei Zhong, Zhuoquan Huang, Dihu Chen, Tao Su, Zixin Wang A mechanism for detecting on-chip radio frequency interference of field-programmable gate array. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jie Jin Resonant amplifier-based sub-harmonic mixer for zero-IF transceiver applications. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Dimitar Nikolov, Erik Larsson Clustered checkpointing: Maximizing the level of confidence for non-equidistant checkpointing. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jaeyoung Kim, Pinaki Mazumder A robust 12T SRAM cell with improved write margin for ultra-low power applications in 40 nm CMOS. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Samin Ebrahim Sorkhabi, Lihong Zhang Automated topology synthesis of analog and RF integrated circuits: A survey. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Simone Acciarito, Gian Carlo Cardarilli, Alessandro Cristini, Luca Di Nunzio, Rocco Fazzolari, Gaurav Mani Khanal, Marco Re, Gianluca Susi Hardware design of LIF with Latency neuron model with memristive STDP synapses. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hadi Ghasemzadeh Momen, Metin Yazgi, Ramazan Köprü, Ali Naderi Saatlo Low-loss active inductor with independently adjustable self-resonance frequency and quality factor parameters. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Libao Deng, Baoquan Zhang, Sha Wang, Chengyu Jin IPRM: IP core resource multiplexing of core wrapper design for reducing test application time in DVFS-based multicore SoCs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hyoungseok Moon, Taewhan Kim Loosely coupled multi-bit flip-flop allocation for power reduction. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Anu Tonk, Neelofer Afzal On advance towards sub-sampling technique in phase locked loops - A review. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qi Xu, Song Chen 0001 Fast thermal analysis for fixed-outline 3D floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram Hybrid TFET-MOSFET circuit: A solution to design soft-error resilient ultra-low power digital circuit. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jui-Hung Hsieh, Jian-Hao Huang, Hung-Ren Wang DVFS-aware motion estimation design scheme based on bandwidth-rate-distortion optimization in application processor systems. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Seyed Nematollah Ahmadyan, Suriyaprakash Natarajan, Shobha Vasudevan A novel test compression algorithm for analog circuits to decrease production costs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1H. C. Bandala-Hernandez, Alejandro Díaz-Sánchez, José Miguel Rocha-Pérez, Jaime Ramírez-Angulo, I. Y. López-Ortega, Javier Lemus-López, Jesús Ezequiel Molinar-Solís CMOS Analog Rank Order Filters using positive feedback comparators. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Lorenzo Iotti, Matteo Bassi, Andrea Mazzanti, Francesco Svelto Design of low-power wideband frequency quadruplers based on transformer-coupled resonators for E-Band backhaul applications. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei Jin 0004, Weifeng He, Jianfei Jiang 0001, Haichao Huang, Xuejun Zhao, Yanan Sun 0003, Xin Chen, Naifeng Jing A 0.33 V 2.5 μW cross-point data-aware write structure, read-half-select disturb-free sub-threshold SRAM in 130 nm CMOS. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nuno Horta, Andrea Baschirotto, Francisco V. Fernández 0001, Günhan Dündar, João Goes, Jorge Fernandes Introduction to the special issue on PRIME 2016 and SMACD 2016. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jenny Klaus, Eric Schaefer, Roman Paris, Astrid Frank, Ralf Sommer A contribution towards model-based design of application-specific MEMS. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1He Tang, Yong Peng, Xiang Lu, Albert Z. Wang, Hai Wang 0002 A quantitative design methodology for high-speed interpolation/averaging ADCs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qin Wang 0009, Zhenyang Chen, Jianfei Jiang 0001, Zheng Guo 0001, Zhigang Mao Dynamic data split: A crosstalk suppression scheme in TSV-based 3D IC. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Masumeh Damghanian, Seyed Javad Azhari A low-power 6-bit MOS CML flash ADC with a novel multi-segment encoder for UWB applications. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jianli Chen, Yan Liu, Ziran Zhu, Wenxing Zhu An adaptive hybrid memetic algorithm for thermal-aware non-slicing VLSI floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Engin Afacan, Günhan Dündar, Ali Emre Pusane, Mustafa Berke Yelten, I. Faik Baskaya Aging signature properties and an efficient signature determination tool for online monitoring. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gian Domenico Licciardo, Thomas Boesch, Danilo Pau, Luigi Di Benedetto Frame buffer-less stream processor for accurate real-time interest point detection. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Hashem Haghbayan, Bijan Alizadeh A dynamic specification to automatically debug and correct various divider circuits. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sangmin Kim, Seungwhun Paik, Seokhyeong Kang, Youngsoo Shin Wakeup scheduling and its buffered tree synthesis for power gating circuits. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rafaella Fiorelli, Eduardo J. Peralías Semi-empirical RF MOST model for CMOS 65 nm technologies: Theory, extraction method and validation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anthony Coyette, Baris Esen, Wim Dobbelaere, Ronny Vanhooren, Georges G. E. Gielen Automatic generation of test infrastructures for analog integrated circuits by controllability and observability co-optimization. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Pedro Mendonça dos Santos, Luís Mendes, João Caldinhas Vaz Substrate noise isolation improvement in a single-well standard CMOS process. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mrinalinee Pandey, António Canelas, Ricardo Póvoa, Jorge Alves Torres, João Costa Freire, Nuno Lourenço 0003, Nuno Horta Design and application of a CMOS active inductor at Ku band based on a multi-objective optimizer. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jin Sun 0006, Liang Xiao 0001, Jiangshan Tian, He Zhou, Janet Roveda Surrogating circuit design solutions with robustness metrics. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jingyang Zhu, Zhiliang Qian, Chi-Ying Tsui BiLink: A high performance NoC router architecture using bi-directional link with double data rate. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Juyeon Kim, Deokjin Joo, Taewhan Kim Optimal utilization of adjustable delay clock buffers for timing correction in designs with multiple power modes. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nuno Lourenço 0003, Ricardo Martins 0003, António Canelas, Ricardo Povoa, Nuno Horta AIDA: Layout-aware analog circuit-level sizing with in-loop layout generation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Murat Pak, Francisco V. Fernández 0001, Günhan Dündar Comparison of QMC-based yield-aware pareto front techniques for multi-objective robust analog synthesis. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kai He, Sheldon X.-D. Tan, Hengyang Zhao, Xuexin Liu, Hai Wang 0002, Guoyong Shi Parallel GMRES solver for fast analysis of large linear dynamic systems on GPU platforms. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alexander E. Shapiro, Francois Atallah, Kyugseok Kim, Jihoon Jeong, Jeff Fischer, Eby G. Friedman Adaptive power gating of 32-bit Kogge Stone adder. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yishai Statter, Tom Chen 0001 A novel high-throughput method for table look-up based analog design automation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Stefano Brenna, Andrea Bonetti, Andrea Bonfanti, Andrea L. Lacaita An efficient tool for the assisted design of SAR ADCs capacitive DACs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amin Sallem, Pedro Pereira 0001, M. Helena Fino, Mourad Fakhfakh A hybrid approach for the sensitivity analysis of integrated inductors. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Julia Funke, Stefan Hougardy, Jan Schneider 0002 An exact algorithm for wirelength optimal placements in VLSI design. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Engin Afacan, Gönenç Berkol, Günhan Dündar, Ali Emre Pusane, I. Faik Baskaya A lifetime-aware analog circuit sizing tool. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Cinzia Bernardeschi, Luca Cassano, Andrea Domenici, Luca Sterpone UA2TPG: An untestability analyzer and test pattern generator for SEUs in the configuration memory of SRAM-based FPGAs. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hui Geng, Jianming Liu 0001, Jinglan Liu, Pei-Wen Luo, Liang-Chia Cheng, Steven L. Grant, Yiyu Shi 0001 Selective body biasing for post-silicon tuning of sub-threshold designs: A semi-infinite programming approach with Incremental Hypercubic Sampling. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Farshad Eshghabadi, Fatemeh Banitorfian, Norlaili Mohd Noh, Mohd Tafir Mustaffa, Asrulnizam Bin Abd Manaf Post-process die-level electromagnetic field analysis on microwave CMOS low-noise amplifier for first-pass silicon fabrication success. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Renzhi Liu, Lawrence T. Pileggi, Jeffrey A. Weldon A wideband RF receiver with extended statistical element selection based harmonic rejection calibration. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Elisenda Roca, Javier J. Sieiro Introduction to the special issue on Radio Frequency Integrated Circuits (RFIC) design techniques. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Amir Albeck, Shmuel Wimer Energy efficient computing by multi-mode addition. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1He Li, Qiang Liu 0011, Jiliang Zhang 0002 A survey of hardware Trojan threat and defense. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license