The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MICRO"( http://dblp.L3S.de/Venues/MICRO )

URL (DBLP): http://dblp.uni-trier.de/db/conf/micro

Publication years (Num. hits)
1972 (15) 1973 (34) 1974 (47) 1976-1977 (28) 1978 (29) 1979 (15) 1980 (23) 1981 (21) 1982 (23) 1984 (35) 1985 (21) 1986 (21) 1987 (23) 1988 (26) 1989 (32) 1990 (35) 1991 (27) 1992 (43) 1993 (28) 1994 (28) 1995 (38) 1996 (31) 1997 (36) 1998 (29) 1999 (30) 2000 (35) 2001 (32) 2002 (37) 2003 (38) 2004 (32) 2005 (34) 2006 (43) 2007 (36) 2008 (43) 2009 (55) 2010 (46) 2011 (45) 2012 (41) 2013 (40) 2014 (54) 2015 (62) 2016 (63) 2017 (62) 2018 (75) 2019 (81) 2020 (83) 2021 (95) 2022 (84) 2023 (102)
Publication types (Num. hits)
inproceedings(1986) proceedings(50)
Venues (Conferences, Journals, ...)
MICRO(2036)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 490 occurrences of 311 keywords

Results
Found 2036 publication records. Showing 2036 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Shruti Padmanabha, Andrew Lukefahr, Reetuparna Das, Scott A. Mahlke Trace based phase prediction for tightly-coupled heterogeneous cores. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wei Huang 0004, Charles Lefurgy, William Kuk, Alper Buyuktosunoglu, Michael S. Floyd, Karthick Rajamani, Malcolm Allen-Ware, Bishop Brock Accurate Fine-Grained Processor Power Proxies. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrew Lukefahr, Shruti Padmanabha, Reetuparna Das, Faissal M. Sleiman, Ronald G. Dreslinski, Thomas F. Wenisch, Scott A. Mahlke Composite Cores: Pushing Heterogeneity Into a Core. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jan van Lunteren, Christoph Hagleitner, Timothy Heil, Giora Biran, Uzi Shvadron, Kubilay Atasu Designing a Programmable Wire-Speed Regular-Expression Matching Accelerator. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Randy Morris, Avinash Karanth Kodi, Ahmed Louri Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Daniel Wong 0001, Murali Annavaram KnightShift: Scaling the Energy Proportionality Wall through Server-Level Heterogeneity. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Youngtaek Kim, Lizy Kurian John, Sanjay Pant, Srilatha Manne, Michael J. Schulte, William Lloyd Bircher, Madhu Saravana Sibi Govindan AUDIT: Stress Testing the Automatic Way. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Petar Radojkovic, Paul M. Carpenter, Miquel Moretó, Alex Ramírez, Francisco J. Cazorla Kernel Partitioning of Streaming Applications: A Statistical Approach to an NP-complete Problem. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Weidan Wu, Benjamin C. Lee Inferred Models for Dynamic and Sparse Hardware-Software Spaces. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lizhong Chen, Timothy Mark Pinkston NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mark Gebhart, Stephen W. Keckler, Brucek Khailany, Ronny Krashinsky, William J. Dally Unifying Primary Cache, Scratch, and Register File Memories in a Throughput Processor. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christian Jacobi 0002, Timothy J. Slegel, Dan F. Greiner Transactional Memory Architecture and Implementation for IBM System Z. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F. Wenisch, Ricardo Bianchini CoScale: Coordinating CPU and Memory System DVFS in Server Systems. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Socrates Demetriades, Sangyeun Cho Predicting Coherence Communication by Tracking Synchronization Points at Run Time. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Snehasish Kumar, Hongzhou Zhao, Arrvindh Shriraman, Eric Matthews, Sandhya Dwarkadas, Lesley Shannon Amoeba-Cache: Adaptive Blocks for Eliminating Waste in the Memory Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hadi Esmaeilzadeh, Adrian Sampson, Luis Ceze, Doug Burger Neural Acceleration for General-Purpose Approximate Programs. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hyeran Jeon, Murali Annavaram Warped-DMR: Light-weight Error Detection for GPGPU. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abdullah Muzahid, Shanxiang Qi, Josep Torrellas Vulcan: Hardware Support for Detecting Sequential Consistency Violations Dynamically. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alain Ketterlin, Philippe Clauss Profiling Data-Dependence to Assist Parallelization: Framework, Scope, and Optimization. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohammad Alisafaee Spatiotemporal Coherence Tracking. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Youtao Zhang, Bruce R. Childers, Jun Yang 0002 FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Binh Pham 0003, Viswanathan Vaidyanathan, Aamer Jaleel, Abhishek Bhattacharjee CoLT: Coalesced Large-Reach TLBs. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Krishna T. Malladi, Ian Shaeffer, Liji Gopalakrishnan, David Lo 0001, Benjamin C. Lee, Mark Horowitz Rethinking DRAM Power Modes for Energy Proportionality. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pejman Lotfi-Kamran, Boris Grot, Babak Falsafi NOC-Out: Microarchitecting a Scale-Out Processor. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yongjun Park 0001, Jason Jong Kyu Park, Hyunchul Park 0001, Scott A. Mahlke Libra: Tailoring SIMD Execution Using Heterogeneous Hardware and Dynamic Configurability. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Timothy G. Rogers, Mike O'Connor, Tor M. Aamodt Cache-Conscious Wavefront Scheduling. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nam Duong, Dali Zhao, Taesu Kim, Rosario Cammarota, Mateo Valero, Alexander V. Veidenbaum Improving Cache Management Policies Using Dynamic Reuse Distances. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Haicheng Wu, Gregory Frederick Diamos, Srihari Cadambi, Sudhakar Yalamanchili Kernel Weaver: Automatically Fusing Database Primitives for Efficient GPU Computation. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Khubaib, M. Aater Suleman, Milad Hashemi, Chris Wilkerson, Yale N. Patt MorphCore: An Energy-Efficient Microarchitecture for High Performance ILP and High Throughput TLP. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Niladrish Chatterjee, Manjunath Shevgoor, Rajeev Balasubramonian, Al Davis, Zhen Fang 0002, Ramesh Illikkal, Ravi R. Iyer 0001 Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cheng Wang 0013, Youfeng Wu, Hongbo Rong, Hyunchul Park SMARQ: Software-Managed Alias Register Queue for Dynamic Optimizations. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Islam Atta, Pinar Tözün, Anastasia Ailamaki, Andreas Moshovos SLICC: Self-Assembly of Instruction Cache Collectives for OLTP Workloads. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Akbar Sharifi, Emre Kultursay, Mahmut T. Kandemir, Chita R. Das Addressing End-to-End Memory Access Latency in NoC-Based Multicores. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Damien Hardy, Isidoros Sideris, Nikolas Ladas, Yiannakis Sazeides The Performance Vulnerability of Architectural and Non-architectural Arrays to Permanent Faults. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rustam Miftakhutdinov, Eiman Ebrahimi, Yale N. Patt Predicting Performance Impact of DVFS for Realistic Memory Systems. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2012, Vancouver, BC, Canada, December 1-5, 2012 Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  BibTeX  RDF
1Jaewoong Sim, Gabriel H. Loh, Hyesoon Kim, Mike O'Connor, Mithuna Thottethodi A Mostly-Clean DRAM Cache for Effective Hit Speculation and Self-Balancing Dispatch. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rami Sheikh, James Tuck 0001, Eric Rotenberg Control-Flow Decoupling. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Timothy Hayes 0001, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Mateo Valero Vector Extensions for Decision Support DBMS Acceleration. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ramon Bertran, Alper Buyuktosunoglu, Meeta Sharma Gupta, Marc González 0001, Pradip Bose Systematic Energy Characterization of CMP/SMT Processor Systems via Automated Micro-Benchmarks. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi, Gabriel H. Loh Fundamental Latency Trade-off in Architecting DRAM Caches: Outperforming Impractical SRAM-Tags with a Simple and Practical Design. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gilles Pokam, Cristiano Pereira, Shiliang Hu, Ali-Reza Adl-Tabatabai, Justin Emile Gottschlich, Jungwoo Ha, Youfeng Wu CoreRacer: a practical memory race recorder for multicore x86 TSO processors. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dimitris Kaseridis, Jeffrey Stuecheli, Lizy Kurian John Minimalist open-page: a DRAM page-mode scheduling policy for the many-core era. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Veynu Narasiman, Michael Shebanow, Chang Joo Lee, Rustam Miftakhutdinov, Onur Mutlu, Yale N. Patt Improving GPU performance via large warps and two-level warp scheduling. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jesse G. Beu, Michel C. Rosier, Thomas M. Conte Manager-client pairing: a framework for implementing coherence hierarchies. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carlo Galuzzi, Luigi Carro, Andreas Moshovos, Milos Prvulovic (eds.) 44rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2011, Porto Alegre, Brazil, December 3-7, 2011 Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  BibTeX  RDF
1Yan Pan, John Kim, Gokhan Memik FeatherWeight: low-cost optical arbitration with QoS support. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Marc de Kruijf, Karthikeyan Sankaralingam Idempotent processor architecture. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wilson W. L. Fung, Inderpreet Singh, Andrew Brownsword, Tor M. Aamodt Hardware transactional memory for GPU architectures. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jiaqi Zhang, Weiwei Xiong, Yang Liu 0044, Soyeon Park, Yuanyuan Zhou 0001, Zhiqiang Ma ATDetector: improving the accuracy of a commercial data race detector by identifying address transfer. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Charles Lefurgy, Alan J. Drake, Michael S. Floyd, Malcolm Allen-Ware, Bishop Brock, José A. Tierno, John B. Carter Active management of timing guardband to save energy in POWER7. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Seongwook Jin, Jeongseob Ahn, Sanghoon Cha, Jaehyuk Huh 0001 Architectural support for secure virtualization under a vulnerable hypervisor. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tushar Krishna, Li-Shiuan Peh, Bradford M. Beckmann, Steven K. Reinhardt Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mitchell Hayenga, Mikko H. Lipasti The NoX router. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yuanrui Zhang, Wei Ding 0008, Mahmut T. Kandemir, Jun Liu 0008, Ohyoung Jang A data layout optimization framework for NUCA-based multicores. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sai Prashanth Muralidhara, Lavanya Subramanian, Onur Mutlu, Mahmut T. Kandemir, Thomas Moscibroda Reducing memory interference in multicore systems via application-aware memory channel partitioning. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jing Xin, Russ Joseph Identifying and predicting timing-critical instructions to boost timing speculation. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Qing Guo 0004, Xiaochen Guo, Yuxin Bai, Engin Ipek A resistive TCAM accelerator for data-intensive computing. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Michael Ferdman, Cansu Kaynak, Babak Falsafi Proactive instruction fetch. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carole-Jean Wu, Aamer Jaleel, William Hasenplaugh, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer SHiP: signature-based hit predictor for high performance caching. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ritesh Parikh, Valeria Bertacco Formally enhanced runtime verification to ensure NoC functional correctness. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrew W. Hay, Karin Strauss, Timothy Sherwood, Gabriel H. Loh, Doug Burger Preventing PCM banks from seizing too much power. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mark Gebhart, Stephen W. Keckler, William J. Dally A compile-time managed multi-level register file hierarchy. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer PACMan: prefetch-aware cache management for high performance caching. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gabriel H. Loh, Mark D. Hill Efficiently enabling conventional block sizes for very large die-stacked DRAM caches. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gregory Frederick Diamos, Benjamin Ashbaugh, Subramaniam Maiyuran, Andrew Kerr, Haicheng Wu, Sudhakar Yalamanchili SIMD re-convergence at thread frontiers. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ryan Cochran, Can Hankendi, Ayse K. Coskun, Sherief Reda Pack & Cap: adaptive DVFS and thread packing under power caps. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi Pay-As-You-Go: low-overhead hard-error correction for phase change memories. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Vignyan Reddy Kothinti Naresh, David J. Palframan, Mikko H. Lipasti CRAM: coded registers for amplified multiporting. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhenyu Sun 0001, Xiuyuan Bi, Hai (Helen) Li, Weng-Fai Wong, Zhong-Liang Ong, Xiaochun Zhu, Wenqing Wu Multi retention level STT-RAM cache designs with a dynamic refresh scheme. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gabriel H. Loh A register-file approach for row buffer caches in die-stacked DRAMs. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sheng Li 0007, Kevin T. Lim, Paolo Faraboschi, Jichuan Chang, Parthasarathy Ranganathan, Norman P. Jouppi System-level integrated server architectures for scale-out datacenters. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1André Seznec A new case for the TAGE branch predictor. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eiman Ebrahimi, Rustam Miftakhutdinov, Chris Fallin, Chang Joo Lee, José A. Joao, Onur Mutlu, Yale N. Patt Parallel application memory scheduling. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ganesh Venkatesh, Jack Sampson, Nathan Goulding-Hotta, Sravanthi Kota Venkata, Michael Bedford Taylor, Steven Swanson QsCores: trading dark silicon for scalable energy efficiency with quasi-specific cores. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shantanu Gupta, Shuguang Feng, Amin Ansari, Scott A. Mahlke, David I. August Bundled execution of recurring traces for energy-efficient general purpose processing. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jason Mars, Lingjia Tang, Robert Hundt, Kevin Skadron, Mary Lou Soffa Bubble-Up: increasing utilization in modern warehouse scale computers via sensible co-locations. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Christopher Nitta, Matthew K. Farrens, Venkatesh Akella Resilient microring resonator based photonic networks. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Soontae Kim, Jongmin Lee 0002, Jesung Kim, Seokin Hong Residue cache: a low-energy low-area L2 cache architecture via compression and partial hits. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1George Michelogiannakis, Nan Jiang 0009, Daniel Becker 0003, William J. Dally Packet chaining: efficient single-cycle allocation for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nikos Foutris, Dimitris Gizopoulos, Mihalis Psarakis, Xavier Vera, Antonio González 0001 Accelerating microprocessor silicon validation by exposing ISA diversity. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Gagan Gupta, Gurindar S. Sohi Dataflow execution of sequential imperative programs on multicore architectures. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Konstantinos Aisopos, Li-Shiuan Peh A systematic methodology to develop resilient cache coherence protocols. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott A. Mahlke, David I. August Encore: low-cost, fine-grained transient fault recovery. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ahmed H. Abdel-Gawad, Mithuna Thottethodi TransCom: transforming stream communication for load balance and efficiency in networks-on-chip. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nikolas Ioannou, Marcelo Cintra Complementing user-level coarse-grain parallelism with implicit speculative parallelism. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Enrique Vallejo 0001, Ramón Beivide, Adrián Cristal, Tim Harris 0001, Fernando Vallejo, Osman S. Unsal, Mateo Valero Architectural Support for Fair Reader-Writer Locking. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rajkishore Barik, Jisheng Zhao, Vivek Sarkar Efficient Selection of Vector Instructions Using Dynamic Programming. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nak Hee Seong, Dong Hyuk Woo, Vijayalakshmi Srinivasan, Jude A. Rivers, Hsien-Hsin S. Lee SAFER: Stuck-At-Fault Error Recovery for Memories. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daniel Sánchez 0003, Christos Kozyrakis The ZCache: Decoupling Ways and Associativity. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Guoping Long, Diana Franklin, Susmit Biswas, Pablo J. Ortiz, Jason Oberg, Dongrui Fan, Frederic T. Chong Minimal Multi-threading: Finding and Removing Redundant Instructions in Multi-threaded Processors. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shekhar Srikantaiah, Mahmut T. Kandemir Synergistic TLBs for High Performance Address Translation in Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marc Lupon, Grigorios Magklis, Antonio González 0001 A Dynamically Adaptable Hardware Transactional Memory. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arun A. Nair, Lizy Kurian John, Lieven Eeckhout AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Thomas Vogelsang Understanding the Energy Consumption of Dynamic Random Access Memories. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Daehoon Kim, Hwanju Kim, Jaehyuk Huh 0001 Virtual Snooping: Filtering Snoops in Virtualized Multi-cores. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jeffrey Stuecheli, Dimitris Kaseridis, Hillery C. Hunter, Lizy K. John Elastic Refresh: Techniques to Mitigate Refresh Penalties in High Density Memory. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xuehai Qian, Wonsun Ahn, Josep Torrellas ScalableBulk: Scalable Cache Coherence for Atomic Blocks in a Lazy Environment. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jae-Woong Chung, Luke Yen, Stephan Diestelhorst, Martin Pohlack, Michael Hohmuth, David Christie, Dan Grossman ASF: AMD64 Extension for Lock-Free Data Structures and Transactional Memory. Search on Bibsonomy MICRO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2036 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license