The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Microprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1975 (24) 1976 (15) 1977 (44) 1978 (21) 1979 (18) 1980 (29) 1981 (15) 1982 (23) 1983 (24) 1984 (23) 1985 (27) 1986-1987 (20) 1988-1989 (28) 1990 (17) 1991-1992 (23) 1993-1994 (38) 1995 (46) 1996 (44) 1997 (55) 1998 (63) 1999 (84) 2000 (99) 2001 (77) 2002 (125) 2003 (123) 2004 (156) 2005 (169) 2006 (174) 2007 (157) 2008 (144) 2009 (77) 2010 (47) 2011 (37) 2012 (32) 2013 (32) 2014 (24) 2015 (23) 2016 (22) 2017 (23) 2018 (16) 2019 (17) 2020 (20) 2021 (22) 2022-2023 (23) 2024 (10)
Publication types (Num. hits)
article(666) book(5) incollection(7) inproceedings(1598) phdthesis(53) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1754 occurrences of 998 keywords

Results
Found 2330 publication records. Showing 2330 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Alper Buyuktosunoglu, David H. Albonesi, Stanley Schuster, David M. Brooks, Pradip Bose, Peter W. Cook A circuit level implementation of an adaptive issue queue for power-aware microprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Randal E. Bryant Effective Use of Boolean Satisfiability Procedures in the Formal Verification of Superscalar and VLIW Microprocessors. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Maher N. Mneimneh, Fadi A. Aloul, Christopher T. Weaver, Saugata Chatterjee, Karem A. Sakallah, Todd M. Austin Scalable Hybrid Verification of Complex Microprocessors. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Brian W. Curran, Mary Gifaldi, Jason Martin, Alper Buyuktosunoglu, Martin Margala, David H. Albonesi Low-Voltage 0, 25 µm CMOS Improved Power Adaptive Issue Queue for Embedded Microprocessors. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
14Ing-Jer Huang, Wen-Fu Kao A Machine State Transition Approach to Instruction Retargeting for Embedded Microprocessors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Manfred Schlett Embedded microprocessors: Evolution, trends and challenges. Search on Bibsonomy Adv. Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Ken Sakamura 21st-Century Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Chris Herring Microprocessors, Microcontrollers, and Systems in the New Millennium. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos, George I. Stamoulis Architectural and compiler techniques for energy reduction in high-performance microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Jurij Silc, Theo Ungerer, Borut Robic A survey of new research directions in microprocessors. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Torbjørn Rognes, Erling Seeberg Six-fold speed-up of Smith-Waterman sequence database searches using parallel processing on common microprocessors. Search on Bibsonomy Bioinform. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Hemant G. Rotithor Postsilicon Validation Methodology for Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev Formal Verification of VLIW Microprocessors with Speculative Execution. Search on Bibsonomy CAV The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Frederik Vermeulen, Lode Nachtergaele, Francky Catthoor, Diederik Verkest, Hugo De Man Flexible hardware acceleration for multimedia oriented microprocessors. Search on Bibsonomy MICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Matthias Pflanz, Christian Galke, Heinrich Theodor Vierhaus A new method for on-line state machine observation for embedded microprocessors. Search on Bibsonomy HLDVT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14David Ofelt, John L. Hennessy Efficient performance prediction for modern microprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Yale N. Patt Higher and Higher Performance Microprocessors: Are The Problems Just Too Hard To Solve? Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Shervin Hojat, Paul Kartschoke Techniques for Improving Timing Convergence of Advanced Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Tamás Roska, Ángel Rodríguez-Vázquez Review of CMOS implementations of the CNN universal machine-type visual microprocessors. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Travis M. Eiles, Keneth R. Wilsher, William K. Lo, G. Xiao Optical interferometric probing of advanced microprocessors. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Pradip Bose, Jacob A. Abraham Performance and Functional Verification of Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Gang Qu 0001, Naoyuki Kawabe, Kimiyoshi Usami, Miodrag Potkonjak Function-level power estimation methodology for microprocessors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14David W. Boerstler A low-jitter PLL clock generator for microprocessors with lock range of 340-612 MHz. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Jack Choquette, Mayank Gupta, Dominic McCarthy, Jack Veenstra High performance RISC microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Mark A. Check, Timothy J. Slegel Custom S/390 G5 and G6 microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Robert M. Averill III, Keith G. Barkley, Michael A. Bowen, Peter J. Camporese, Allan H. Dansky, Robert F. Hatch, Dale E. Hoffman, Mark D. Mayo, Scott A. McCabe, Timothy G. McNamara, Thomas J. McPherson, Gregory A. Northrop, Leon J. Sigal, Howard H. Smith, David A. Webber, Patrick M. Williams Chip integration methodology for the IBM S/390 G5 and G6 custom microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14G. Wang, Danesh K. Tafti Performance Enhancement on Microprocessors with Hierarchical Memory Systems for Solving Large Sparse Linear Systems. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14J. Giridhar, K. M. M. Prabhu Erratum to "Implementation of MTD-WVD on a TMS320C30 DSP processor": [J. Microprocessors Microsyst. 22 (1998) 1-12]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Jaime C. Fonseca 0001, João Luiz Afonso, Júlio S. Martins, Carlos Couto Erratum to "Fuzzy logic speed control of an induction motor": [J. Microprocessors Microsyst. 22 (1999) 523-534]. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Jurij Silc, Borut Robic Asynchronous Microprocessors. Search on Bibsonomy Informatica (Slovenia) The full citation details ... 1999 DBLP  BibTeX  RDF
14Lee Lloyd, Keith Heron, Albert Koelmans, Alexandre Yakovlev Asynchronous microprocessors: From high level model to FPGA implementation. Search on Bibsonomy J. Syst. Archit. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Junichi Hirase, Shinichi Yoshimura, Tomohisa Sczaki Automatic Test Pattern Generation for Improving the Fault Coverage of Microprocessors. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14S. Deuty, C. S. Mitter Transistor paradigm shift required to meet the power demands for microprocessors. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Alan Pita, Nadeem Malik Sectored renaming for superscalar microprocessors. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Daniel A. Connors, Jean-Michel Puiatti, David I. August, Kevin M. Crozier, Wen-mei W. Hwu An Architecture Framework for Introducing Predicated Execution into Embedded Microprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Pradip Bose Performance Evaluation and Validation of Microprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, validation, processor design
14Chua-Chin Wang, Sheng-Hua Chen, Shen-Fu Hsiao, Chuan-Lin Wu Design and performance verification of ALUs for 64-bit 8-issue superscaler microprocessors using 0.25 um CMOS technology. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Steffen Köhler, Sergej Sawitzki, Achim Gratz, Rainer G. Spallek Digital Signal Processing with General Purpose Microprocessors, DSP and Rcinfigurable Logic. Search on Bibsonomy IPPS/SPDP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Byron Cook, John Launchbury, John Matthews, Richard B. Kieburtz Formal Verification of Explicitly Parallel Microprocessors. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Thomas Lundqvist, Per Stenström Timing Anomalies in Dynamically Scheduled Microprocessors. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing anomaly, Real-time systems, resource allocation, timing analysis, worst-case execution time, out-of-order execution, dynamically scheduled processor
14Omar Hammami Neural Network Classifiers Execution on Superscalar Microprocessors. Search on Bibsonomy ISHPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Magdy S. Abadir, Rajesh Raina Design-for-test methodology for Motorola PowerPC microprocessors. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Li-C. Wang, Magdy S. Abadir Tradeoff analysis for producing high quality tests for custom circuits in PowerPC microprocessors. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Anjali Kinra Towards reducing "functional only" fails for the UltraSPARC microprocessors. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Alfred L. Crouch, Michael Mateja, Teresa L. McLaurin, John C. Potter, Dat Tran The testability features of the 3rd generation ColdFire family of microprocessors. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Richard Raimi, Jacob A. Abraham Detecting False Timing Paths: Experiments on PowerPC Microprocessors. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Randal E. Bryant Exploiting Positive Equality and Partial Non-Consistency in the Formal Verification of Pipelined Microprocessors. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14David Van Campenhout Functional design verification for microprocessors by error modeling. Search on Bibsonomy 1999   RDF
14Nikolaos Bellas Architectural and Compiler Techniques for Energy Reduction in High-Performance Microprocessors Search on Bibsonomy 1999   RDF
14Derek Chiou Extending the reach of microprocessors: column and curious caching. Search on Bibsonomy 1999   RDF
14Anthony C. J. Fox Algebraic models for advanced microprocessors. Search on Bibsonomy 1999   RDF
14Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang, Albert R. Wang Code Optimization Techniques in Embedded DSP Microprocessors. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Bruce L. Jacob, Trevor N. Mudge Virtual memory in contemporary microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Steven Wallace, Nader Bagherzadeh Modeled and Measured Instruction Fetching Performance for Superscalar Microprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF performance analysis, Computer architecture, instruction fetching, branch target buffer, superscalar microprocessor
14Chris Basoglu, Donglok Kim, Robert J. Gove, Yongmin Kim 0001 High-performance image computing with modern microprocessors. Search on Bibsonomy Int. J. Imaging Syst. Technol. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14David Van Campenhout, Hussain Al-Asaad, John P. Hayes, Trevor N. Mudge, Richard B. Brown High-level design verification of microprocessors via error modeling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design verification, error modeling, design errors
14Mark Bohr Silicon Trends and Limits for Advanced Microprocessors. Search on Bibsonomy Commun. ACM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Dale Amason, Alfred L. Crouch, Renny Eisele, Grady Giles, Michael Mateja Test Development for Second-Generation ColdFire Microprocessors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Corinna G. Lee, Mark G. Stoodley Simple Vector Microprocessors for Multimedia Applications. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt Putting the Fill Unit to Work: Dynamic Optimizations for Trace Cache Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Randal E. Bryant Verification of Pipelined Microprocessors by Correspondence Checking in Symbolic Ternary Simulation. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pipelined microprocessor verification, memory shadowing, Efficient Memory Model (EMM), circuit correspondence checking, symbolic simulation
14Csaba Andras Moritz, Donald Yeung, Anant Agarwal Exploring Optimal Cost-Performance Designs for Raw Microprocessors. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14S.-K. Cheng, R.-Ming Shiu, Jean Jyh-Jiun Shann Decoding Unit with High Issue Rate for X86 Superscalar Microprocessors. Search on Bibsonomy ICPADS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Nikolaos Bellas, Ibrahim N. Hajj, George D. Stamoulis, Constantine D. Polychronopoulos Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Simon Segars The ARM9 family-high performance microprocessors for embedded applications. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Rolf Hakenes, Yiannos Manoli The Microcore development system: a unified environment for designing new microprocessors. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Arun Chandra, Li-C. Wang, Magdy S. Abadir Practical Considerations in Formal Equivalence Checking of PowerPC(tm) Microprocessors. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Pradip Bose Performance Test Case Generation for Microprocessors. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Miroslav N. Velev, Randal E. Bryant Bit-Level Abstraction in the Verfication of Pipelined Microprocessors by Correspondence Checking. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Anjali Kinra, Aswin Mehta, Neal Smith, Jackie Mitchell, Fred Valente Diagnostic techniques for the UltraSPARC microprocessors. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Vivek Tiwari, Deo Singh, Suresh Rajgopal, Gaurav Mehta, Rakesh Patel, Franklin Baez Reducing Power in High-Performance Microprocessors. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF technology mapping, programmable logic devices, PLA-style logic blocks
14Richard W. Earnshaw, Lee D. Smith, Kevin Welton Challenges in cross-development [single chip microprocessors]. Search on Bibsonomy IEEE Micro The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Peter A. Sandon, Yu-Chung Liao, Thomas E. Cook, David M. Schultz, Pedro Martin-de-Nicolas NStrace: A bus-driven instruction trace tool for PowerPC microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Kenneth L. Shepard, Sean M. Carey, Ee Kin Cho, Brian W. Curran, Robert F. Hatch, Dale E. Hoffman, Scott A. McCabe, Gregory A. Northrop, A. E. (Rick) Seigler Design methodology for the S/390 Parallel Enterprise Server G4 microprocessors. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Joon-Seo Yim, Chang-Jae Park, In-Cheol Park, Chong-Min Kyung Design Verification of Complex Microprocessors. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Marc E. Levitt Guest Editor's Introduction: Microprocessors Lead the Way in Complex Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  BibTeX  RDF
14Sunil Kakkar A comprehensive diagnostics software strategy for IDT's microprocessors. Search on Bibsonomy COMPCON The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Hector Sanchez, Belli Kuttanna, Tim Olson, Mike Alexander, Gianfranco Gerosa, Ross Philip, Jose Alvarez Thermal management system for high performance PowerPC™ microprocessors. Search on Bibsonomy COMPCON The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Chris Basoglu, Yongmin Kim 0001 Real-time algorithm for generating color Doppler ultrasound images on commercially available microprocessors. Search on Bibsonomy Medical Imaging: Image Processing The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Joon-Seo Yim, Chang-Jae Park, Woo-Seung Yang, Hun-Seung Oh, Hee-Choul Lee, Hoon Choi, Tae-Hoon Kim, Seungjong Lee, Nara Won, Yung-Hei Lee, In-Cheol Park, Chong-Min Kyung Verification methodology of compatible microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Jeffrey L. Burns, Jack A. Feldman C5M - a control logic layout synthesis system for high-performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Randal E. Bryant, Miroslav N. Velev Verification of Pipelined Microprocessors by Comparing Memory Execution Sequences in Symbolic Simulation. Search on Bibsonomy ASIAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Jeremy R. Levitt, Kunle Olukotun Verifying correct pipeline implementation for microprocessors. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Charles Roth, Jon Tyler, Paul Jagodik, Huy Nguyen Divide and conquer approach to functional verification of PowerPC TM microprocessors. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Shervin Hojat, Paul Villarrubia An Integrated Placement and Synthesis Approach for Timing Closure of PowerPC Microprocessors. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Daniel W. Dobberpuhl Circuits and Technology for Digital's StrongARM(tm) and ALPHA Microprocessors. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Michael Mateja, Alfred L. Crouch, Renny Eisele, Grady Giles, Dale Amason A Case Study of the Test Development for the 2nd Generation ColdFire® Microprocessors. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14William J. Grundmann, Dan Dobberpuhl, Randy L. Allmon, Nicholas L. Rethman Designing High Performance CMOS Microprocessors Using Full Custom Techniques. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Ricardo Gonzalez, Mark Horowitz Energy dissipation in general purpose microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Richard Mateosian Microprocessors at 25. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Albert Y. C. Yu The future of microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Neal A. Harman, John V. Tucker Algebraic Models of Microprocessors: Architecture and Organisation. Search on Bibsonomy Acta Informatica The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Henk D. L. Hollmann, Jean-Paul M. G. Linnartz, Jacobus H. van Lint, C. P. M. J. Baggen Protection of Software Algorithms Executed on Secure Microprocessors. Search on Bibsonomy CARDIS The full citation details ... 1996 DBLP  BibTeX  RDF
14Steven Wallace, Nader Bagherzadeh Instruction Fetching Mechanisms for Superscalar Microprocessors. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14David A. Clark, Brad L. Hutchings Supporting FPGA microprocessors through retargetable software tools. Search on Bibsonomy FCCM The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Jose Alvarez, Hector Sanchez, Roger Countryman, Mike Alexander, Carmine Nicoletta, Gianfranco Gerosa A Scalable Resistor-less PLL Design for PowerPCTM Microprocessors. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Anthony C. J. Fox, Neal A. Harman An Algebraic Model of Correctness for Superscalar Microprocessors. Search on Bibsonomy FMCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Bishop Brock, Matt Kaufmann, J Strother Moore ACL2 Theorems About Commercial Microprocessors. Search on Bibsonomy FMCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Wayne M. Needham, Naga Gollakota DFT Strategy for Intel Microprocessors. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Anoosh Hosseini, Dimitrios Mavroidis, Pavlos Konas Code Generation and Analysis for the Functional Verification of Microprocessors. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 2330 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license