The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Nanotechnology with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (37) 1996 (40) 1998-2001 (17) 2002-2003 (36) 2004 (43) 2005 (48) 2006 (117) 2007 (72) 2008 (48) 2009 (42) 2010 (31) 2011 (93) 2012 (66) 2013 (78) 2014 (73) 2015 (71) 2016 (54) 2017 (62) 2018 (35) 2019 (48) 2020 (48) 2021 (50) 2022 (41) 2023 (56) 2024 (2)
Publication types (Num. hits)
article(338) book(5) incollection(8) inproceedings(929) phdthesis(11) proceedings(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 452 occurrences of 271 keywords

Results
Found 1308 publication records. Showing 1308 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Nazrul Islam Trends in Nanotechnology Knowledge Creation and Dissemination. Search on Bibsonomy Int. J. Nanotechnol. Mol. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Darryl Stuart Jarvis, Noah Richmond Regulation and Governance of Nanotechnology in China: Regulatory Challenges and Effectiveness. Search on Bibsonomy Eur. J. Law Technol. The full citation details ... 2011 DBLP  BibTeX  RDF
17David Berube Decision Ethics and Emergent Technologies: The Case of Nanotechnology. Search on Bibsonomy Eur. J. Law Technol. The full citation details ... 2011 DBLP  BibTeX  RDF
17Shilpanjali Deshpande Sarma How Resilient is India to Nanotechnology Risks? Examining Current Developments, Capacities and an Approach for Effective Risk Governance and Regulation. Search on Bibsonomy Eur. J. Law Technol. The full citation details ... 2011 DBLP  BibTeX  RDF
17Dmitri B. Strukov Nanotechnology: Smart connections. Search on Bibsonomy Nat. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17John H. Lau Recent advances and new trends in nanotechnology and 3D integration for semiconductor industry. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Preethu Rose Anish, Anand Gole, Smita Ghaisas A semantic regulatory framework for nanotechnology application in agri-food domain. Search on Bibsonomy RELAW The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17In-Seok Jung, Elizabeth R. Kim, Minsu Choi Learning nanotechnology through crossbar-based architecture and Carbon Nanotube(CNT) FETs. Search on Bibsonomy MSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ben Pelleg, Manuel Figueroa, Matthew VanKouwenberg, Adam K. Fontecchio, Eli Fromm Implementing nanotechnology education in the high school classroom. Search on Bibsonomy FIE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17P. Somasundaran, P. Purohit Nanotechnology for sensing: emerging applications of aptamers based sensors for societal welfare. Search on Bibsonomy ACWR The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2011, Vancouver, BC, Canada, October 3-5, 2011 Search on Bibsonomy DFT The full citation details ... 2011 DBLP  BibTeX  RDF
17Berna Beyhan Who interacts with whom? Individual and organizational aspects of university-industry interactions in nanotechnology: the turkish case ; Kim kiminle ilişki kurar? Nano teknoloji alanında üniversite-sanayi ilişkilerinin kişisel ve örgütsel yönleri: Türkiye örneği. (PDF / PS) Search on Bibsonomy 2011   RDF
17Li Tang 0005 The US - China scientific collaboration, knowledge moderation, and China's rise in nanotechnology. Search on Bibsonomy 2011   RDF
17Luca Amati, Cristiana Bolchini, Fabio Salice Optimal Test Set Selection for Fault Diagnosis Improvement. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Uljana Reinsalu, Jaan Raik, Raimund Ubar, Peeter Ellervee Fast RTL Fault Simulation Using Decision Diagrams and Bitwise Set Operations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Neishaburi, Zeljko Zilic Hierarchical Embedded Logic Analyzer for Accurate Root-Cause Analysis. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra, Alessandro Paccagnella Impact of Aging Phenomena on Soft Error Susceptibility. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Khalid Latif 0002, Amir-Mohammad Rahmani, Ethiopia Nigussie, Hannu Tenhunen, Tiberiu Seceleanu A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mario Schölzel Fine-Grained Software-Based Self-Repair of VLIW Processors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Hao Chen, Jie Han 0001, Fabrizio Lombardi A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Rance Rodrigues, Israel Koren, Sandip Kundu An Architecture to Enable Life Cycle Testing in CMPs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Costas Argyrides, Ronaldo Rodrigues Ferreira, Carlos Arthur Lang Lisbôa, Luigi Carro Decimal Hamming: A Software-Implemented Technique to Cope with Soft Errors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Neishaburi, Zeljko Zilic A Fault Tolerant Hierarchical Network on Chip Router Architecture. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Behnam Ghavami, Mohsen Raji, Hossein Pedram, Omid Naghshineh Arjmand CNT-count Failure Characteristics of Carbon Nanotube FETs under Process Variations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Muhammad Aamir Khan 0002, Hans G. Kerkhoff SoC Mixed-Signal Dependability Enhancement: A Strategy from Design to End-of-Life. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Gabriel L. Nazar, Luigi Carro An Area Effective Parity-Based Fault Detection Technique for FPGAs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Xun Tang, Wu-Tung Cheng, Ruifeng Guo, Huaxing Tang, Sudhakar M. Reddy Diagnosis of Multiple Faults Based on Fault-Tuple Equivalence Tree. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Joon-Sung Yang, Rudrajit Datta Efficient Function Mapping in Nanoscale Crossbar Architecture. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Luigi Dilillo, Alberto Bosio, Miroslav Valka, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel Error Resilient Infrastructure for Data Transfer in a Distributed Neutron Detector. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Tobias Koal, Daniel Scheit, Mario Schölzel, Heinrich Theodor Vierhaus On the Feasibility of Built-In Self Repair for Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Nachiket Rajderkar, Marco Ottavi, Salvatore Pontarelli, Jie Han 0001, Fabrizio Lombardi On the Effects of Intra-gate Resistive Open Defects in Gates at Nanoscaled CMOS. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Masoud Zamani, Mehdi Baradaran Tahoori Online Missing/Repeated Gate Faults Detection in Reversible Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mohammad Hossein Neishaburi, Zeljko Zilic Debug Aware AXI-based Network Interface. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jongho Seol, Noh-Jin Park, K. M. George, Nohpill Park Modeling Yield of Self-Healing Carbon Nanotubes/Silicon-Nanowire FET-based Nanoarray. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Chiara Sandionigi A Reliability-Aware Partitioner for Multi-FPGA Platforms. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Zahra Lak, Nicola Nicolici A New Algorithm for Post-Silicon Clock Measurement and Tuning. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Sven Eisenhardt, Anja Küster, Thomas Schweizer, Tommy Kuhn, Wolfgang Rosenstiel Spatial and Temporal Data Path Remapping for Fault-Tolerant Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jinghang Liang, Jie Han 0001, Fabrizio Lombardi On the Reliable Performance of Sequential Adders for Soft Computing. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Paolo Roberto Grassi, Mariagiovanna Sami, Ettore Speziale, Michele Tartara Analyzing the Sensitivity to Faults of Synchronization Primitives. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Noor M. Nayeem, Jacqueline E. Rice Online Fault Detection in Reversible Logic. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Seyab Khan, Nor Zaidi Haron, Said Hamdioui, Francky Catthoor NBTI Monitoring and Design for Reliability in Nanoscale Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Cristiana Bolchini, Antonio Miele An Application-Level Dependability Analysis Framework for Embedded Systems. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Bonnie L. Gray, Vijay K. Jain Creating Defect Tolerance in Microfluidic Capacitive/Photonic Biosensors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Md. Muwyid U. Khan, Pritish Narayanan, Priyamvada Vijayakumar, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Biased Voting for Improved Yield in Nanoscale Fabrics. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Masashi Imai, Tomohiro Yoneda Duplicated Execution Method for NoC-based Multiple Processor Systems with Restricted Private Memories. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Nivesh Rai, Hamidreza Hashempour, Yizi Xing, Bram Kruseman, Said Hamdioui A Schematic-Based Extraction Methodology for Dislocation Defects in Analog/Mixed-Signal Devices. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Javier Carretero, Jaume Abella 0001, Xavier Vera, Pedro Chaparro Control-Flow Recovery Validation Using Microarchitectural Invariants. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre A New Bulk Built-In Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Rudrajit Datta, Nur A. Touba Generating Burst-Error Correcting Codes from Orthogonal Latin Square Codes - A Graph Theoretic Approach. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jorge Luis Lagos-Benites, Michelangelo Grosso, Matteo Sonza Reorda, G. Audisio, M. Pipponzi, Marco Sabatini, V. A. Avantaggiati An FPGA-Emulation-Based Platform for Characterization of Digital Baseband Communication Systems. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Erik MacLean, Vijay K. Jain A Power Transmission Line Fault Distance Estimation VLSI Chip: Design and Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Chandra Babu Dara, Spyros Tragoudas, Themistoklis Haniotakis A Metric for Weight Assignment to Optimize the Performance of MOBILE Threshold Logic Gate. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mehran Mozaffari Kermani, Arash Reyhani-Masoleh Reliable Hardware Architectures for the Third-Round SHA-3 Finalist Grostl Benchmarked on FPGA Platform. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Paolo Bernardi, Matteo Sonza Reorda, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch On the Modeling of Gate Delay Faults by Means of Transition Delay Faults. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer, Alireza Nojeh A Unified Error Control Coding Scheme to Enhance the Reliability of a Hybrid Wireless Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Rudrajit Datta, Nur A. Touba X-Stacking - A Method for Reducing Control Data for Output Compaction. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Hiroshi Kutami, Yusuke Fukushima, Masaru Fukushi, Ikuko Eguchi Yairi, Takeshi Hattori Route-Aware Task Mapping Method for Fault-Tolerant 2D-Mesh Network-on-Chips. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Masato Inoue, Haruhiko Kaneko Deletion/Insertion/Reversal Error Correcting Codes for Bit-Patterned Media Recording. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Masoud Zamani, Hossein Pedram, Fabrizio Lombardi Templated-Based Asynchronous Design for Testable and Fail-Safe Operation. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Shuai Wang Characterizing System-Level Vulnerability for Instruction Caches against Soft Errors. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Masayoshi Yoshimura, Yusuke Akamine, Yusuke Matsunaga A Soft Error Tolerance Estimation Method for Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ahmed Awad, Abdallatif S. Abu-Issa, Said Hamdioui Reducing Test Power for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Vijay K. Jain, Glenn H. Chapman Enhanced Defect Tolerance through Matrixed Deployment of Intelligent Sensors for the Smart Power Grid. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Dan Alexandrescu, Enrico Costenaro, Michael Nicolaidis A Practical Approach to Single Event Transients Analysis for Highly Complex Designs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Daniele Giaffreda, Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Model for Thermal Behavior of Shaded Photovoltaic Cells under Hot-Spot Condition. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Daniel B. Limbrick, Suge Yue, William H. Robinson, Bharat L. Bhuva Impact of Synthesis Constraints on Error Propagation Probability of Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Geunho Cho, Fabrizio Lombardi On the Delay Analysis of Defective CNTFETs with Undeposited CNTs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Sreenivas Gangadhar, Spyros Tragoudas A Probabilistic Approach to Diagnose SETs. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Glenn H. Chapman, Jenny Leung, Ana I. L. Namburete, Israel Koren, Zahava Koren Predicting Pixel Defect Rates Based on Image Sensor Parameters. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Haizheng Zhang, Baojun Qiu, Kristinka Ivanova, C. Lee Giles, Henry C. Foley, John Yen Locality and attachedness-based temporal social network growth dynamics analysis: A case study of evolving nanotechnology scientific collaboration networks. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Rachel Armstrong Systems Architecture: A New Model for Sustainability and the Built Environment using Nanotechnology, Biotechnology, Information Technology, and Cognitive Science with Living Technology. Search on Bibsonomy Artif. Life The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Edward L. Wolf Nanophysics and nanotechnology applied to sensors, part 1. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Edward L. Wolf Nanophysics and nanotechnology applied to sensors Part 2: Part 26 in a series of tutorials on instrumentation and measurement. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Cindy K. Harnett Nanotechnology in environmental sensors. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Gangbo Wang, Jiancheng Guan The role of patenting activity for scientific research: A study of academic inventors from China's nanotechnology. Search on Bibsonomy J. Informetrics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Kiran Gupta, T. R. Gopalakrishnan Nair A Study of VLSI Technology, Wafers and Impact on Nanotechnology Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
17Md. Saiful Islam 0003 A Novel Quantum Cost Efficient Reversible Full Adder Gate in Nanotechnology Search on Bibsonomy CoRR The full citation details ... 2010 DBLP  BibTeX  RDF
17Md. Saiful Islam 0003, Muhammad Mahbubur Rahman, Zerina Begum, Mohd. Zulfiquar Hafiz Realization of a Novel Fault Tolerant Reversible Full Adder Circuit in Nanotechnology. Search on Bibsonomy Int. Arab J. Inf. Technol. The full citation details ... 2010 DBLP  BibTeX  RDF
17Philip Shapira, Jan L. Youtie, Alan L. Porter The emergence of social science research on nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Jiancheng Guan, Gangbo Wang A comparative study of research performance in nanotechnology for China's inventor-authors and their non-inventing peers. Search on Bibsonomy Scientometrics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Erik Fisher, Catherine P. Slade, Derrick Anderson, Barry Bozeman The public value of nanotechnology? Search on Bibsonomy Scientometrics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Guang Yu, Ming-Yang Wang, Daren Yu Characterizing knowledge diffusion of Nanoscience & Nanotechnology by citation analysis. Search on Bibsonomy Scientometrics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Scott D. Bass, Lukasz A. Kurgan Discovery of factors influencing patent value based on machine learning in patents in the field of nanotechnology. Search on Bibsonomy Scientometrics The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Chwee Teck Lim, Jongyoon Han, Jochen R. Guck, Horacio Espinosa Micro and nanotechnology for biological and biomedical applications. Search on Bibsonomy Medical Biol. Eng. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Anees A. Ansari, Mansour Alhoshan, Mohamad S. Alsalhi, Abdull S. Aldwayyan Prospects of Nanotechnology in Clinical Immunodiagnostics. Search on Bibsonomy Sensors The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Young-Eun Choi, Ju-Won Kwak, Joon Won Park Nanotechnology for Early Cancer Detection. Search on Bibsonomy Sensors The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Masami Hagiya, Fumiaki Tanaka, Ibuki Kawamata IT for synthetic biology and DNA nanotechnology. Search on Bibsonomy XRDS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Nazrul Islam 0002 Nanotechnology Innovation Systems: A Regional Comparison. Search on Bibsonomy Int. J. Nanotechnol. Mol. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Adolfo Nemirovsky, Fernando Audebert, Osvaldo N. Oliveira Jr., Carlos J. L. Constantino, Lorena Barrientos, Guillermo González, Elder de la Rosa Nanoscience and Nanotechnology in Latin America. Search on Bibsonomy Int. J. Nanotechnol. Mol. Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Lyria Bennett Moses Regulating beyond nanotechnology do nano-specific problems require nano-specific solutions? Search on Bibsonomy ISTAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Costas Argyrides, Nikolaos Mavrogiannakis, Dhiraj K. Pradhan Improved Yield in Nanotechnology Circuits Using Non-square Meshes. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Eyal Cohen, Shlomi Dolev, Sergey Frenkel, Rami Puzis, Michael Rosenblit Nanotechnology Based Optical Solution for NP-Hard Problems. Search on Bibsonomy OSC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Abdoul Rjoub, Hassan Almanasrah Low leakage multi-Vth technique for sequential circuits at transistor level in nanotechnology. Search on Bibsonomy ICECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Mary Mehrnoosh Eshaghian-Wilner, Adam LeWinter A Survey of Nanotechnology Principles in Modern Computing Architectures. Search on Bibsonomy CDES The full citation details ... 2010 DBLP  BibTeX  RDF
17Sílvia Alcaraz Domínguez, Narcís Parés Burguès, Joan Mora Guiard Interactive learning experience on nanotechnology. Search on Bibsonomy ITS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Roderick V. N. Melnik Nanoscale Processes, Modeling Coupled and Transport Phenomena in Nanotechnology. Search on Bibsonomy Encyclopedia of Complexity and Systems Science The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Craig Cormick Piecing Together the Elephant: Public Engagement on Nanotechnology Challenges. Search on Bibsonomy Sci. Eng. Ethics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Elena Jubete, Oscar A. Loaiza, Estibaliz Ochoteco, José A. Pomposo, Hans Grande, Javier Rodríguez Nanotechnology: A Tool for Improved Performance on Electrochemical Screen-Printed (Bio)Sensors. Search on Bibsonomy J. Sensors The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Shun-dong Zhu, Yu-Ming Chu 0001, Song-Liang Qiu The homotopy perturbation method for discontinued problems arising in nanotechnology. Search on Bibsonomy Comput. Math. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Abdullah Abdulaziz Al-Tameem Nanotechnology Development and Sub-Technologies Effect. Search on Bibsonomy J. Digit. Inf. Manag. The full citation details ... 2009 DBLP  BibTeX  RDF
Displaying result #801 - #900 of 1308 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license