The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-SoC"( http://dblp.L3S.de/Venues/VLSI-SoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ifip10-5

Publication years (Num. hits)
2001 (39) 2002-2003 (80) 2005 (21) 2006 (76) 2007 (62) 2009-2010 (85) 2011 (84) 2012 (61) 2013 (83) 2014 (45) 2015 (65) 2016 (50) 2017 (48) 2018 (50) 2019 (65) 2020 (42) 2021 (45) 2022 (91) 2023 (52)
Publication types (Num. hits)
inproceedings(1124) proceedings(20)
Venues (Conferences, Journals, ...)
VLSI-SoC(1144)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1144 publication records. Showing 1144 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Yngvar Berg Static ultra-low-voltage high-speed CMOS logic and latches. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Gabriel Caffarena, Carlos Carreras, Juan A. López, Angel Fernandez Herrero Fast fixed-point optimization of DSP algorithms. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Roberto Cardu, Eleonora Franchi, Roberto Guerrieri, Mauro Scandiuzzo, Salvatore Cani, Luca Perugini, Simone Spolzino, Roberto Canegallo Characterization of chip-to-chip wireless interconnections based on capacitive coupling. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ding-Guo Lin, Bing-Hsun Lu, Herming Chiueh An 100MHz to 1.6GHz DLL-based clock generator using a feedback-switching detector. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alessandro Panella, Marco D. Santambrogio, Francesco Redaelli, Fabio Cancare, Donatella Sciuto A design workflow for dynamically reconfigurable multi-FPGA systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Oussama Elissati, Eslam Yahya, Sébastien Rieubon, Laurent Fesquet A high-speed high-resolution low-phase noise oscillator using self-timed rings. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Khawla Hamwi, Omar Hammami Design and implementation of MPSoC single chip with butterfly network. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fengda Sun, Alessandro Cevrero, Panagiotis Athanasopoulos, Yusuf Leblebici Design and feasibility of multi-Gb/s quasi-serial vertical interconnects based on TSVs for 3D ICs. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Roberto Gioiosa Towards sustainable exascale computing. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ji Kong, Peilin Liu A novel reconfigurable scratchpad memory for audio applications on cost-effective SoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bruno Zatt, Cláudio Machado Diniz, Luciano Volcan Agostini, Sergio Bampi Timing and interface communication analysis of H.264/AVC encoder using SystemC model. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pramod Kumar Meher An optimized lookup-table for the evaluation of sigmoid function for artificial neural networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chengmo Yang, Alex Orailoglu Fully adaptive multicore architectures through statically-directed dynamic execution reconfigurations. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bruno Francisco, Frederico Pratas, Leonel Sousa Unifying stream based and reconfigurable computing to design application accelerators. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Maher Jridi, Ayman Alfalou A low-power, high-speed DCT architecture for image compression: Principle and implementation. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Debora Matos, Miklecio Costa, Luigi Carro, Altamiro Amadeu Susin Network interface to synchronize multiple packets on NoC-based Systems-on-Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1João S. Altermann, Eduardo A. C. da Costa, Sergio Bampi Fast forward and inverse transforms for the H.264/AVC standard using hierarchical adder compressors. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rafik Khereddine, Louay Abdallah, Emmanuel Simeu, Salvador Mir, Fabio Cenni Adaptive logical control of RF LNA performances for efficient energy consumption. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chengmo Yang, Chun Jason Xue, Alex Orailoglu Fine-grained adaptive CMP cache sharing through access history exploitation. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sergey Sofer, Valery Neiman, Eyal Melamed-Cohen Synchronous duty cycle correction circuit. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jayram Moorkanikara Nageswaran, Micah Richert, Nikil D. Dutt, Jeffrey L. Krichmar Towards reverse engineering the brain: Modeling abstractions and simulation frameworks. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christos Ttofis, Agathoklis Papadopoulos, Theocharis Theocharides, Maria K. Michael, Demosthenes Doumenis A reconfigurable MPSoC-based QAM modulation architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kuan Jen Lin, Yu Chan Chiu, Tzu-Hao Lin A decimal squarer with efficient partial product generation. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1François Poucheret, Lyonel Barthe, Pascal Benoit, Lionel Torres, Philippe Maurine, Michel Robert Spatial EM jamming: A countermeasure against EM Analysis? Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Luc Claesen, Peter Vandoren, Tom Van Laerhoven, Andy Motten, Domien Nowicki, Tom De Weyer, Frank Van Reeth, Eddy Flerackers Smart camera SoC system for interactive real-time real-brush based digital painting systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ronaldo Husemann, Mariano Majolo, Victor Guimarães 0002, Altamiro Amadeu Susin, Valter Roesler, José Valdeni de Lima Hardware integrated quantization solution for improvement of computational H.264 encoder module. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mariza Botelho, Fernanda Lima Kastensmidt, Marcelo Lubaszewski, Érika F. Cota, Luigi Carro A broad strategy to detect crosstalk faults in network-on-chip interconnects. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicola Bombieri, Franco Fummi, Valerio Guarnieri Model checking on TLM-2.0 IPs through automatic TLM-to-RTL synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alberto Bonanno, Alberto Bocca, Alberto Macii, Enrico Macii Power-aware partitioning of data converters. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani Logic synthesis and testability of D-reducible functions. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Roman Plyaskin, Alejandro Masrur, Martin Geier 0001, Samarjit Chakraborty, Andreas Herkersdorf High-level timing analysis of concurrent applications on MPSoC platforms using memory-aware trace-driven simulations. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rawan Abdel-Khalek, Valeria Bertacco SoCGuard: A runtime verification solution for the functional correctness of SoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christian Benkeser, Andreas Bubenhofer, Qiuting Huang A 1mm2 1.3mW GSM/EDGE digital baseband receiver ASIC in 0.13 µm CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Markus Wenk, Lukas Bruderer, Andreas Burg, Christoph Studer Area- and throughput-optimized VLSI architecture of sphere decoding. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Preeti Ranjan Panda, Anant Vishnoi, M. Balakrishnan Enhancing post-silicon processor debug with Incremental Cache state Dumping. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hui Shao, Chi-Ying Tsui, Wing-Hung Ki A single inductor DIDO DC-DC converter for solar energy harvesting applications using band-band control. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Milos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici Output probability density functions of logic circuits: Modeling and fault-tolerance evaluation. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Gabriel Caffarena, Carlos Carreras Architectural synthesis of DSP circuits under simultaneous error and time constraints. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yngvar Berg Ultra low voltage and high speed CMOS flip-flop using floating-gates. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kuo-Che Hong, Herming Chiueh A 36-mW continuous-time sigma-delta modulator with 74db dynamic range and 10-MHz bandwidth. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 18th IEEE/IFIP VLSI-SoC 2010, IEEE/IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Madrid, Spain, 27-29 September 2010 Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  BibTeX  RDF
1Wen-Chung Tsai, Kuo-Chih Chu, Sao-Jie Chen, Yu Hen Hu TM-FAR: Turn-Model based Fully Adaptive Routing for Networks on Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mohamed Abdelsalam, M. Wahba, M. Abdelmoneum, David Duarte, Yehia Ismail Supporting circuitry for a fully integrated micro electro mechanical (MEMS) oscillator in 45 nm CMOS technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Paolo Meloni, Simone Secchi, Luigi Raffo Enabling fast Network-on-Chip topology selection: an FPGA-based runtime reconfigurable prototyper. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Manthena Vamshi Krishna, Juan Xie, Manh Anh Do, Chirn Chye Boon, Kiat Seng Yeo, Aaron V. T. Do A 1.8-V 3.6-mW 2.4-GHz fully integrated CMOS frequency synthesizer for IEEE 802.15.4. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andy Motten, Luc Claesen A binary adaptable window SoC architecture for a stereo vision based depth field processor. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nicolas Ventroux, Tanguy Sassolas, Raphaël David, Guillaume Blanc, Alexandre Guerre, Charly Bechara SESAM extension for fast MPSoC architectural exploration and dynamic streaming applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Víctor Jiménez, Roberto Gioiosa, Eren Kursun, Francisco J. Cazorla, Chen-Yong Cher, Alper Buyuktosunoglu, Pradip Bose, Mateo Valero Trends and techniques for energy efficient architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jing Guo, Bing Liu 0012, George Jie Yuan A highly linear wide dynamic range detector for cell recording with microelectrode arrays. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Héctor Pettenghi, Ricardo Chaves, Leonel Sousa, Maria J. Avedillo An improved RNS generator 2n +/- k based on threshold logic. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Oscar Alonso, Lluis Freixas, Joan Canals, Ekawahyu Susilo, Ángel Dieguez Control electronics integration toward endoscopic capsule robot performing legged locomotion and illumination. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shoichi Nishida, Jyunya Eto, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi Power-aware FPGA routing fabrics and design tools. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Wei-Che Tseng, Chun Jason Xue, Qingfeng Zhuge, Jingtong Hu, Edwin Hsing-Mean Sha Optimal scheduling to minimize non-volatile memory access time with hardware cache. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christos Baloukas, Lazaros Papadopoulos, Robert Pyka, Dimitrios Soudris, Peter Marwedel An automatic framework for dynamic data structures optimization in C. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zohreh Karimi, Majid Sarrafzadeh Fine-grained post placement voltage assignment considering level shifter overhead. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tsung-Yi Ho, Sheng-Hung Liu Fast legalization for standard cell placement with simultaneous wirelength and displacement minimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alzemiro Henrique Lucas da Silva, Alexandre M. Amory, Fernando Gehm Moraes Crosstalk Fault Tolerant NoC: Design and Evaluation. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ian O'Connor, Junchen Liu, Kotb Jabeur, Nataliya Yakymets, Renaud Daviot, David Navarro, Pierre-Emmanuel Gaillardon, Fabien Clermidy, Maimouna Amadou, Gabriela Nicolescu Emerging Technologies and Nanoscale Computing Fabrics. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1António Gusmão, Luís Miguel Silveira, José Monteiro 0001 Power Macro-Modeling Using an Iterative LS-SVM Method. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Dieison Antonello Deprá, Sergio Bampi Techniques for Architecture Design for Binary Arithmetic Decoder Engines Based on Bitstream Flow Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Amine Dehbaoui, Victor Lomné, Philippe Maurine, Lionel Torres, Michel Robert Enhancing Electromagnetic Attacks Using Spectral Coherence Based Cartography. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yann Oddos, Katell Morin-Allory, Dominique Borrione From Assertion-Based Verification to Assertion-Based Synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ayse Kivilcim Coskun, José L. Ayala, David Atienza, Tajana Simunic Rosing Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Gustavo Girão, Daniel Barcelos, Flávio Rech Wagner Performance and Energy Evaluation of Memory Organizations in NoC-Based MPSoCs under Latency and Task Migration. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sergio Bampi, Ricardo Reis 0001 Challenges and Emerging Technologies for System Integration beyond the End of the Roadmap of Nano-CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Petru Bogdan Bacinschi, Manfred Glesner A Multistep Extrapolated S-Parameter Model for Arbitrary On-Chip Interconnect Structures. Search on Bibsonomy VLSI-SoC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bassam Jamil Mohd, Adnan Aziz, Earl E. Swartzlander Jr. The hazard-free superscalar pipeline fast fourier transform algorithm and architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Robert Wille, Görschwin Fey, Daniel Große, Stephan Eggersglüß, Rolf Drechsler SWORD: A SAT like prover using word level information. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Eduardo Wenzel Brião, Daniel Barcelos, Fabio Wronski, Flávio Rech Wagner Impact of task migration in NoC-based MPSoCs for soft real-time applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1C. M. Markan, Priti Gupta Neuromorphic building blocks for adaptable cortical feature maps. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Sujan Pandey, Christian Genz, Rolf Drechsler Co-synthesis of custom on-chip bus and memory for MPSoC architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Nan Jiang 0009, David Money Harris Parallelized radix-2 scalable Montgomery multiplier. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Antonio Carlos Schneider Beck, Luigi Carro Transparent acceleration of data dependent instructions for general purpose processors. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1César A. M. Marcon, Sergio Johann Filho, Fabiano Hessel A VHDL based approach for fast and accurate energy consumption estimations. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Youssef Serrestou, Vincent Beroulle, Chantal Robach Impact of hardware emulation on the verification quality improvement. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jean Carlo Hamerski, Everton Reckziegel, Fernanda Lima Kastensmidt Evaluating memory sharing data size and TCP connections in the performance of a reconfigurable hardware-based architecture for TCP/IP stack. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Almitra Pradhan, Ranga Vemuri Regression based circuit matrix models for accurate performance estimation of analog circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Satnam Singh New parallel programming techniques for hardware design. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Kostas Siozios, Kostas Sotiriadis, Vasilis F. Pavlidis, Dimitrios Soudris A software-supported methodology for designing high-performance 3D FPGA architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Chandan Giri, Soumojit Sarkar, Santanu Chattopadhyay A genetic algorithm based heuristic technique for power constrained test scheduling in core-based SOCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Andrew C. Ling, Deshanand P. Singh, Stephen Dean Brown Incremental placement for structured ASICs using the transportation problem. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jürgen Becker 0001, Adam Donlin, Michael Hübner 0001 New tool support and architectures in adaptive reconfigurable computing. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Brian Cody, Justin Madigan, Spencer MacDonald, Kenneth W. Hsu High speed SOC design for blowfish cryptographic algorithm. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1José Carlos S. Palma, César A. M. Marcon, Fabiano Hessel, Eduardo A. Bezerra, Guilherme Rohde, Luciano Azevedo, Carlos Eduardo Reif, Carolina Metzler A Flexible Design Flow for a Low Power RFID Tag. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Pranav Vaidya, Jaehwan John Lee Simulation of hybrid computer architectures: simulators, methodologies and recommendations. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alin Razafindraibe, Michel Robert, Philippe Maurine Improvement of dual rail logic as a countermeasure against DPA. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Rishi Bhooshan, Bindu P. Rao Optimum IR drop models for estimation of metal resource requirements for power distribution network. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Nikolaos Zompakis, Lazaros Papadopoulos, Georgios Ch. Sirakoulis, Dimitrios Soudris Implementing cellular automata modeled applications on network-on-chip platforms. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Chih-Wen Lu, Yen-Chih Shen, Meng-Lieh Sheu A high-driving class-AB buffer amplifier with a new pseudo source follower. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jaemoon Kim, Sangkwon Na, Chong-Min Kyung A low-power deblocking filter architecture for H.264 advanced video coding. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Aline Mello 0001, Ney Laert Vilar Calazans Rate-based scheduling policy for QoS flows in networks on chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vagner S. Rosa, Altamiro Amadeu Susin, Sergio Bampi An HDTV H.264 deblocking filter in FPGA with RGB video output. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Osnat Keren, Ilya Levin, Radomir S. Stankovic Use of gray decoding for implementation of symmetric functions. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Marco Paolieri, Ivano Bonesana, Marco D. Santambrogio ReCPU: A parallel and pipelined architecture for regular expression matching. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Parth Malani, Prakash Mukre, Qinru Qiu Power optimization for conditional task graphs in DVS enabled multiprocessor systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vincenzo Rana, Chiara Sandionigi, Marco D. Santambrogio, Donatella Sciuto An adaptive genetic algorithm for dynamically reconfigurable modules allocation. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yves Joannon, Vincent Beroulle, Chantal Robach, Smail Tedjini, Jean-Louis Carbonéro Qualification of behavioral level design validation for AMS & RF SoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre Test data compression and TAM design. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1J. Luis Tecpanecatl-Xihuitl, Ruth Aguilar-Ponce, Magdy A. Bayoumi Hybrid multiplierless FIR filter architecture based on NEDA. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Basab Datta, Wayne P. Burleson Low power on-chip thermal sensors based on wires. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1144 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license