The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for firmware with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1970-1977 (17) 1978-1980 (26) 1981-1982 (15) 1983-1987 (17) 1988-1995 (16) 1996-1999 (18) 2000-2002 (26) 2003-2004 (32) 2005-2006 (38) 2007 (30) 2008 (38) 2009 (28) 2010-2011 (32) 2012-2013 (17) 2014 (17) 2015 (28) 2016 (27) 2017 (38) 2018 (38) 2019 (70) 2020 (60) 2021 (63) 2022 (75) 2023 (79) 2024 (16)
Publication types (Num. hits)
article(250) book(4) data(1) incollection(2) inproceedings(594) phdthesis(9) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 288 occurrences of 238 keywords

Results
Found 861 publication records. Showing 861 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Karthikeyan Sundaresan, Konstantina Papagiannaki The need for cross-layer information in access point selection algorithms. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IEEE 802.11, cross-layer, access point selection
12Evangelos Koukis, Nectarios Koziris Memory Bandwidth Aware Scheduling for SMP Cluster Nodes. Search on Bibsonomy PDP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12John Suh, Shana Jacob Distributed sensory systems and developer platforms from crossbow technology. Search on Bibsonomy SenSys The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MOTE-VIEW, environmental, multihop mesh, monitoring, mote, physical security, sensory
12Changhoon Lee, Jongsung Kim, Seokhie Hong, Jaechul Sung, Sangjin Lee 0002 Related-Key Differential Attacks on Cobra-S128, Cobra-F64a, and Cobra-F64b. Search on Bibsonomy Mycrypt The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cobra-S128, Cobra-F64, Block Cipher, Related-Key Attack, Data-Dependent Permutation
12Claude Castelluccia, Pars Mutaf Shake them up!: a movement-based pairing protocol for CPU-constrained devices. Search on Bibsonomy MobiSys The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mario Cagalj, Saurabh Ganeriwal, Imad Aad, Jean-Pierre Hubaux On selfish behavior in CSMA/CA networks. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Julián David Morillo-Pozo, Jorge García-Vidal, Ana I. Pérez-Neira Collaborative ARQ in wireless energy-constrained networks. Search on Bibsonomy DIALM-POMC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF wireless network, diversity, power saving, ARQ, rayleigh fading, AWGN
12David A. Gwaltney, Kenneth Dutton A VHDL Core for Intrinsic Evolution of Discrete Time Filters with Signal Feedback. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Russell Klein, Tomasz Piekarz Accelerating Functional Simulation for Processor Based Designs, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kees Verstoep, Raoul Bhoedjang, Tim Rühl, Henri E. Bal, Rutger F. H. Hofman Cluster communication protocols for parallel-programming systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel-programming systems, Clusters, system area networks
12Paul Willmann, Michael Brogioli, Vijay S. Pai Spinach: a liberty-based simulator for programmable network interface architectures. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmable network interfaces, simulation, embedded systems
12Wen Xu, Sanjeev Kumar, Kai Li 0001 Fast Paths in Concurrent Programs. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Bruno De Bus, Dominique Chanet, Bjorn De Sutter, Ludo Van Put, Koen De Bosschere The design and implementation of FIT: a flexible instrumentation toolkit. Search on Bibsonomy PASTE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance code abstraction, code compaction
12Anshuman S. Nadkarni, Tom Kenville TiGeR, the Transmeta Instruction GEneratoR: A Production Based, Pseudo Random Instruction x86 Test Generator. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ari Juels, John G. Brainard Soft blocking: flexible blocker tags on the cheap. Search on Bibsonomy WPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF blocker tags, tree-walking, privacy, RFID tags, ALOHA
12Armando Carbonari Avionic systems overview. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jork Löser, Hermann Härtig Using Switched Ethernet for Hard Real-Time Communication. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Real Time Parallel Computing
12Wenbin Yao, Dongsheng Wang 0002, Weimin Zheng A Fault-Tolerant Single-Chip Multiprocessor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sandeep Neema, Gabor Karsai Software for Automotive Systems: Model-Integrated Computing. Search on Bibsonomy ASWSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Andrzej Kochut, Arunchandar Vasan 0001, A. Udaya Shankar, Ashok K. Agrawala Sniffing Out the Correct Physical Layer Capture Model in 802.11b. Search on Bibsonomy ICNP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Brice Goglin, Loïc Prylli, Olivier Glück Optimizations of Client's Side Communications in a Distributed File System within a Myrinet Cluster. Search on Bibsonomy LCN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL
12Nobuyuki Ohba, Kohji Takano An SoC design methodology using FPGAs and embedded microprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixed-level verification, SoC, ASIC, FPGA prototyping
12Giuseppe Ciaccio Messaging on Gigabit Ethernet: Some Experiments with GAMMA and Other Systems. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance evaluation, MPI, message passing, cluster computing, Gigabit Ethernet, low-latency communication
12John L. Goodman The Space Shuttle and GPS: A Safety-Critical Navigation Upgrade. Search on Bibsonomy ICCBSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Matthew Eric Otey, Srinivasan Parthasarathy 0001, Amol Ghoting, G. Li, Sundeep Narravula, Dhabaleswar K. Panda 0001 Towards NIC-based intrusion detection. Search on Bibsonomy KDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF network interface cards, data mining, network security, network intrusion detection, NICs
12Ryan J. Fong, Scott J. Harper, Peter M. Athanas A Versatile Framework for FPGA Field Updates: An Application of Partial Self-Reconfiguation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Christian Panis, Raimund Leitner, Jari Nurmi Scaleable Shadow Stack for a Configurable DSP Concept. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Klaus-Dieter Schubert Improvements in functional simulation addressing challenges in large, distributed industry projects. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code reusability, server verification, simulation speed, simulator, emulation, acceleration, co-simulation, system simulation
12Douglas C. Bossen, Joel M. Tendler, Kevin Reick Power4 System Design for High Reliability. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Minimizing memory access energy in embedded systems by selective instruction compression. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Peter S. Magnusson, Magnus Christensson, Jesper Eskilson, Daniel Forsgren, Gustav Hållberg, Johan Högberg, Fredrik Larsson, Andreas Moestedt, Bengt Werner Simics: A Full System Simulation Platform. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Chris Rowen Reducing SoC Simulation and Development Time. Search on Bibsonomy Computer The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Ranjit Noronha, Nael B. Abu-Ghazaleh Using Programmable NICs for Time-Warp Optimization. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable NIC, Clusters, Time Warp, Parallel Discrete Event Simulation
12Shailesh Ramamurthy, Sanjeev Madhavankutty, V. Meena, Rajesh Gupta 0004 JPEG-2000 on an advanced architecture, multiple execution unit DSP. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Joaquin Gracia, Daniel Gil, Juan Carlos Baraza, Pedro J. Gil Using VHDL-Based Fault Injection to exercise Error Detection Mechanisms in the Time-Triggered Architecture. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Richard S. Wilkins, Xing Du, Robert A. Cochran, Matthias Popp Disaster Tolerant Wolfpack Geo-Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Joan G. Dyer, Mark Lindemann, Ronald Perez, Reiner Sailer, Leendert van Doorn, Sean W. Smith, Steve H. Weingart Building the IBM 4758 Secure Coprocessor. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Seishi Takamura, Naoki Kobayashi 0002 MPEG-2 one-pass variable bit rate control algorithm and its LSI implementation. Search on Bibsonomy ICIP (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Cristian Constantinescu Teraflops Supercomputer: Architecture and Validation of the Fault Tolerance Mechanisms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault/error detection coverage, fault-tolerant computing, validation, fault injection, Supercomputing
12Dariusz Kania Decomposition-Based Synthesis and its Application in PAL-Oriented Technology Mapping. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Raoul Bhoedjang, Kees Verstoep, Tim Rühl, Henri E. Bal, Rutger F. H. Hofman Evaluating Design Alternatives for Reliable Communication on High-Speed Networks. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Luca Simoncini Towards a Discipline of System Engineering: Validation of Dependable Systems Architectures. Search on Bibsonomy ISADS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Patrick C. K. Hung, Kamalakar Karlapalem Security and Privacy Aspects fo Smartflow Internet Payment System. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Samir Koussih, Anurag Acharya 0001, Sanjeev Setia Dodo: A User-level System for Exploiting Idle Memory in Workstation Clusters. Search on Bibsonomy HPDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rashmi Goswami, V. Srinivasan, M. Balakrishnan MPEG-2 Video Data Simulator: A Case Study in Constrained HW-SW Codesign. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Angelos Bilas, Cheng Liao, Jaswinder Pal Singh Using Network Interface Support to Avoid Asynchronous Protocol Processing in Shared Virtual Memory Systems. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Shiu-Kai Chin, Jang Dae Kim An Instruction Set Process Calculus. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Rajeev Sivaram, Ram Kesavan, Dhabaleswar K. Panda 0001, Craig B. Stunkel Where to Provide Support for Efficient Multicasting in Irregular Networks: Network Interface or Switch? Search on Bibsonomy ICPP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cut-through routing, performance evaluation, multicast, broadcast, collective communication, Parallel computer architecture, irregular networks, switch-based networks
12Matthias A. Blumrich, Richard Alpert, Yuqun Chen, Douglas W. Clark, Stefanos N. Damianakis, Cezary Dubnicki, Edward W. Felten, Liviu Iftode, Kai Li 0001, Margaret Martonosi, Robert A. Shillner Design Choices in the SHRIMP System: An Empirical Study. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Laurent George 0001, Christophe Lizzi, Jacky Montiel External/Internal Clock Synchronization in ATM-based Distributed Systems. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Clifford Liem, Marco Cornero, Miguel Santana, Pierre G. Paulin, Ahmed Amine Jerraya, Jean-Marc Gentit, Jean Lopez, Xavier Figari, Laurent Bergher Am Embedded System Case Study: The Firm Ware Development Environment for a Multimedia Audio Processor. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Mani B. Srivastava, Anantha P. Chandrakasan, Robert W. Brodersen Predictive system shutdown and other architectural techniques for energy efficient programmable computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Prathima Agrawal, Eoin Hyden, Paul Krzyzanowski, Mani B. Srivastava, John A. Trotter Hardware-software architecture of the SWAN Wireless ATM network. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Kazuo Taki, Katsuto Nakajima, Hiroshi Nakashima, Morihiro Ikeda Performance and Architectural Evaluation of the PSI Machine. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF Prolog
12Samuel O. Aletan, William Lively Multiprocessor/multiarchitecture microprocessor design (M3D). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Richard A. Smith Security design considerations of hardware. Search on Bibsonomy ACM Annual Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
12Subrata Dasgupta, Marius Olafsson Towards a family of languages for the design and implementation of machine architectures. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF
12G. David, I. Losonczi, S. D. Papp Language support for designing multilevel computer systems. Search on Bibsonomy CONPAR The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
12Greg L. Weinstein Operating systems for microcomputers: good, bad, and non-existant. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
12Gregory R. Lloyd, Andries van Dam Design considerations for microprogramming languages. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #861 of 861 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license