The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for register with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1962 (16) 1963-1968 (19) 1969-1972 (17) 1973-1974 (19) 1975-1976 (22) 1977-1978 (30) 1979-1980 (24) 1981-1982 (24) 1983-1984 (34) 1985 (21) 1986 (28) 1987 (36) 1988 (44) 1989 (58) 1990 (81) 1991 (58) 1992 (73) 1993 (60) 1994 (78) 1995 (108) 1996 (114) 1997 (133) 1998 (144) 1999 (149) 2000 (181) 2001 (202) 2002 (220) 2003 (287) 2004 (324) 2005 (353) 2006 (361) 2007 (411) 2008 (339) 2009 (240) 2010 (99) 2011 (120) 2012 (97) 2013 (80) 2014 (84) 2015 (101) 2016 (109) 2017 (96) 2018 (87) 2019 (99) 2020 (93) 2021 (108) 2022 (108) 2023 (76) 2024 (12)
Publication types (Num. hits)
article(1717) book(2) incollection(18) inproceedings(3892) phdthesis(48)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(167) IEEE Trans. Computers(128) DAC(116) CoRR(112) MICRO(112) IEEE Trans. Very Large Scale I...(96) DATE(90) ISCA(67) J. Electron. Test.(67) PLDI(66) VLSI Design(63) ISCAS(60) ICCAD(55) CC(52) ICCD(50) ASP-DAC(49) More (+10 of total 1318)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4401 occurrences of 2030 keywords

Results
Found 5686 publication records. Showing 5677 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Chun-hong Chen, Chi-Ying Tsui Towards the capability of providing power-area-delay trade-off at the register transfer level. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Laurence Tianruo Yang, Zebo Peng An Improved Register-Transfer Level Functional Partitioning Approach for Testability. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Randall J. Fisher, Henry G. Dietz Compiling for SIMD Within a Register. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Sandeep Agarwal, Fayez El Guibaly Modeling of Shift Register-based ATM Switch. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Kazuhito Ito, Keshab K. Parhi A Generalized Technique for Register Counting and its Application to Cost-Optimal DSP Architecture Synthesis. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Keith D. Cooper, John Lu Register Promotion in C Programs. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF C
16Catherine H. Gebotys Low Energy Memory and Register Allocation Using Network Flow. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Fermín Sánchez, Jordi Cortadella RESIS: A New Methodology for Register Optimization in Software Pipelining. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Krzysztof Diks, Torben Hagerup More General Parallel Tree Contraction: Register Allocation and Broadcasting in a Tree. Search on Bibsonomy WG The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Johannes Steensma, Francky Catthoor, Hugo De Man Partial scan and symbolic test at the register-transfer level. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data path test, partial scan selection, symbolic test pattern generation, partial scan application schemes
16Chen-Yi Lee, Jer-Min Tsai A shift register architecture for high-speed data sorting. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Ashok Sudarsanam, Sharad Malik Memory bank and register allocation in software synthesis for ASIPs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16John A. Nestor Visual register-transfer description of VLSI microarchitectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential test generation and synthesis for testability at the register-transfer and logic levels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Allen C.-H. Wu, Daniel D. Gajski Partitioning algorithms for layout synthesis from register-transfer netlists. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Miodrag J. Mihaljevic, Jovan Dj. Golic Convergence of a Bayesian Iterative Error-Correction Procedure on a Noisy Shift register Sequence. Search on Bibsonomy EUROCRYPT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Algorithms, Cryptanalysis, Convergence, Decoding, Shift registers, Fast correlation attack
16Michael Merritt, Gadi Taubenfeld Atomic m-Register Operations (Extended Abstract). Search on Bibsonomy WDAG The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Hiroshi Nakamura, Yuji Kukimoto, Masahiro Fujita, Hidehiko Tanaka A Data Path Verifier for Register Transfer Level Using Temporal Logic Language Tokio. Search on Bibsonomy CAV The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Gary J. Murakami, Roy H. Campbell, Michael Faiman Pulsa: Non-Blocking Packet Switching with Shift-Register Rings. Search on Bibsonomy SIGCOMM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Miodrag J. Mihaljevic, Jovan Dj. Golic A Fast Iterative Algorithm For A Shift Register Initial State Reconstruction Given The Nosiy Output Sequence. Search on Bibsonomy AUSCRYPT The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16David Callahan, Steve Carr 0001, Ken Kennedy Improving register allocation for subscripted variables (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16David Callahan, Steve Carr 0001, Ken Kennedy Improving Register Allocation for Subscripted Variables. Search on Bibsonomy PLDI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF FORTRAN
16Rolf Ernst, S. Sutarwala, J.-Y. Jou, M. Tong Simulation based verification of register-transfer level behavioral synthesis tools. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Ranga Vemuri On the notion of the normal form register-level structures and its applications in design-space exploration. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Jovan Dj. Golic, Miodrag J. Mihaljevic A Noisy Clock-Controlled Shift Register Cryptanalysis Concept Based on Sequence Comparion Approach. Search on Bibsonomy EUROCRYPT The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Salvatore Filippone, Paolo Santangelo, Marcello Vitaletti A vectorized long-period shift-register random number generator. Search on Bibsonomy SC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF FORTRAN
16Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential Test Generation at the Register-Transfer and Logic Levels. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Preston Briggs, Keith D. Cooper, Ken Kennedy, Linda Torczon Coloring Heuristics for Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1989 DBLP  DOI  BibTeX  RDF FORTRAN
16Preston Briggs, Keith D. Cooper, Ken Kennedy, Linda Torczon Coloring heuristics for register allocation (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Réjane Forré A Fats Correlation Attack on Nonlinearly Feedforward Filtered Shift-Register Sequences. Search on Bibsonomy EUROCRYPT The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Gert Goossens, Joos Vandewalle, Hugo De Man Loop Optimization in Register-Transfer Scheduling for DSP-Systems. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Fadi J. Kurdahi, Alice C. Parker REAL: a program for REgister ALlocation. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16James R. Larus, Paul N. Hilfinger Register allocation in the SPUR Lisp compiler. Search on Bibsonomy SIGPLAN Symposium on Compiler Construction The full citation details ... 1986 DBLP  DOI  BibTeX  RDF LISP, LISP
16Harald Niederreiter A Public-Key Cryptosystem based on Shift Register Sequences. Search on Bibsonomy EUROCRYPT The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Tore Herlestam On Functions of Linear Shift Register Sequences. Search on Bibsonomy EUROCRYPT The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Ted G. Lewis, William H. Payne Generalized Feedback Shift Register Pseudorandom Number Algorithm. Search on Bibsonomy J. ACM The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
16Lawrence Paul Horwitz, Richard M. Karp, Raymond E. Miller, Shmuel Winograd Index Register Allocation. Search on Bibsonomy J. ACM The full citation details ... 1966 DBLP  DOI  BibTeX  RDF
15Amit Golander, Shlomo Weiss Checkpoint allocation and release. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF early register release, misprediction, Checkpoint, leakage, out-of-order execution, rollback
15Sandrine Blazy, Benoît Robillard Live-range unsplitting for faster optimal coalescing. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF register allocation, graph reduction, coalescing
15Andreas Larsson, Anders Gidenstam, Phuong Hoai Ha, Marina Papatriantafilou, Philippas Tsigas Multiword atomic read/write registers on multiprocessor systems. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, wait-free, Atomic register
15Thomas Kotzmann, Christian Wimmer, Hanspeter Mössenböck, Thomas Rodriguez, Kenneth B. Russell, David Cox Design of the Java HotSpot™ client compiler for Java 6. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF deoptimization, Java, optimization, compiler, register allocation, just-in-time compilation, intermediate representation
15Tay-Jyi Lin, Shin-Kai Chen, Yu-Ting Kuo, Chih-Wei Liu, Pi-Chen Hsiao Design and Implementation of a High-Performance and Complexity-Effective VLIW DSP for Multimedia Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register organization, VLIW, digital signal processor, micro-architecture, instruction encoding
15Xuejun Yang, Ying Zhang 0032, Jingling Xue, Ian Rogers, Gen Li 0002, Guibin Wang Exploiting loop-dependent stream reuse for stream processors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF StreamC, stream professor, stream register file, stream reuse, stream programming model
15Amin Asghari, Seied Ahmad Motamedi, Sepehr Attarchi Effective RTL Method to Develop On-Line Self-Test Routine for the Processors Using the Wavelet Transform. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF On-line low-cost testing, Spectral test pattern generating, Software-based self-testing (SBST), Register transfer level (RTL), Processor testing
15Ricky Yiu-kee Choi, Chi-Ying Tsui A Low Energy Two-Step Successive Approximation Algorithm for ADC Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Successive Approximation Register ADC, Low Power
15Shobha Vasudevan, Vinod Viswanath, Robert W. Sumners, Jacob A. Abraham Automatic Verification of Arithmetic Circuits in RTL Using Stepwise Refinement of Term Rewriting Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Register Transfer Level implementation, Verification, Hardware Description Languages, arithmetic logic unit
15Mathias Ortner, Xavier Descombes, Josiane Zerubia Building Outline Extraction from Digital Elevation Models Using Marked Point Processes. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF inhomogeneous Poisson point process, dense urban area, laser data, land register, RJMCMC, image processing, simulated annealing, MCMC, stochastic geometry, digital elevation models, building detection
15Daniel J. Bernstein, Tanja Lange 0001 Faster Addition and Doubling on Elliptic Curves. Search on Bibsonomy ASIACRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-scalar multiplication, side-channel countermeasures, unified addition formulas, complete addition formulas, performance evaluation, Elliptic curves, register allocation, scalar multiplication, efficient implementation, addition, explicit formulas, doubling
15Weijia Li, Youtao Zhang, Jun Yang 0002, Jiang Zheng 0002 UCC: update-conscious compilation for energy efficiency in wireless sensor networks. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor networks, register allocation, code dissemination
15Soheil Aminzadeh, Saeed Safari Co-evolutionary high-level test synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF co-evolutionary algorithm, module binding, genetic algorithm, scheduling, register allocation, high-level test synthesis
15Joel David Hamkins, David Linetsky, Russell G. Miller The Complexity of Quickly ORM-Decidable Sets. Search on Bibsonomy CiE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ordinal computation, infinite time computation, hyperarithmetical hierarchy, complexity, computability, Ordinal, arithmetical hierarchy, register machine
15Alex Gontmakher, Avi Mendelson, Assaf Schuster Using fine grain multithreading for energy efficient computing. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register sharing, energy efficiency, fine grain parallelization
15Ian M. Bell, Nabil Hasasneh, Chris R. Jesshope Supporting Microthread Scheduling and Synchronisation in CMPs. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Microgrids, microthreads, schedulers, CMPs, register files
15Desiree Ottoni, Guilherme Ottoni, Guido Araujo, Rainer Leupers Offset assignment using simultaneous variable coalescing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stack offset assignment, address registers, autoincrement addressing modes, variable coalescing, DSPs, register allocation
15Aviral Shrivastava, Partha Biswas, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau Compilation framework for code size reduction using reduced bit-width ISAs (rISAs). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF codesize reduction, dual instruction set, narrow bit-width instruction set, rISA, register pressure-based code generation, thumb, optimization, compilers, Code generation, code compression, retargetable compilers
15George Petrides, Johannes Mykkeltveit On the Classification of Periodic Binary Sequences into Nonlinear Complexity Classes. Search on Bibsonomy SETA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nonlinear complexity, short cycles, nonlinear feedback shift register
15Bin Zhang 0003, Dengguo Feng New Guess-and-Determine Attack on the Self-Shrinking Generator. Search on Bibsonomy ASIACRYPT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Self-shrinking, Guess-and-determine, Stream cipher, Linear feedback shift register (LFSR)
15Dongwon Jeong, Youn-Hee Han Resolving the Semantic Inconsistency Problem for Ubiquitous RFID Applications. Search on Bibsonomy UIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Metadata register, Ubiquitous computing, Semantic, Interoperability, RFID, Consistency
15Michel Raynal, Corentin Travers In Search of the Holy Grail: Looking for the Weakest Failure Detector for Wait-Free Set Agreement. Search on Bibsonomy OPODIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Leader oracle, Participating process, Consensus, Asynchronous system, Shared object, Atomic register, Set agreement, Asynchronous algorithm, Wait-free algorithm
15Yi-Bing Lin Per-User Checkpointing for Mobility Database Failure Restoration. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF failure restoration, Checkpoint, Universal Mobile Telecommunications System (UMTS), General Packet Radio Service (GPRS), Home Location Register
15Weiwu Hu, Fuxin Zhang, Zusong Li Microarchitecture of the Godson-2 Processor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF superscalar pipeline, dynamic scheduling non-blocking cache, load speculation, branch prediction, out-of-order execution, register renaming
15François Panneton, Pierre L'Ecuyer On the xorshift random number generators. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF linear recurrence modulo 2, xorshift, linear feedback shift register, Random number generation
15Jason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, Zhiru Zhang Instruction set extension with shadow registers for configurable processors. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF shadow register, compilation, ASIP, configurable processor
15Bin Zhang 0003, Hongjun Wu 0001, Dengguo Feng, Hong Wang Weaknesses of COSvd (2, 128) Stream Cipher. Search on Bibsonomy ICISC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF COS cipher, Non- linear feedback shift register, Stream cipher, Divide-and-Conquer
15Hyun-Gyu Kim, Hyeong-Cheol Oh A DSP-Enhanced 32-Bit Embedded Microprocessor. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DSP-enhanced microprocessor, hardware address generator, register extension, embedded microprocessor, SIMD
15Tay-Jyi Lin, Chie-Min Chao, Chia-Hsien Liu, Pi-Chen Hsiao, Shin-Kai Chen, Li-Chun Lin, Chih-Wei Liu, Chein-Wei Jen A unified processor architecture for RISC & VLIW DSP. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-core processor, register organization, variable-length instruction encoding, digital signal processor
15Bin Zhang 0003, Hongjun Wu 0001, Dengguo Feng, Feng Bao 0001 A Fast Correlation Attack on the Shrinking Generator. Search on Bibsonomy CT-RSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Linear feedback shift register, Fast correlation attack, Shrinking generator
15Bogdan S. Chlebus, Dariusz R. Kowalski Cooperative asynchronous update of shared memory. Search on Bibsonomy STOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF problem Write-All, read and write register, work efficiency, distributed algorithm, expander, asynchrony, disperser
15Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan Power emulation: a new paradigm for power estimation. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, FPGA, design, design methodologies, emulation, hardware acceleration, power estimation, register-transfer level, macromodels
15Jeremy R. Johnson, Werner Krandick, Anatole D. Ruslanov Architecture-aware classical Taylor shift by 1. Search on Bibsonomy ISSAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ILP scheduling, Taylor shift, delayed carry propagation, multiprecision arithmetic, register tiling, high-performance computing, code generation, memory hierarchy, polynomials, performance tuning, loop unrolling
15Dmitri Bronnikov A practical adoption of partial redundancy elimination. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2004 DBLP  DOI  BibTeX  RDF partial redundancy elimination, register spilling
15Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan A compiler framework for speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion
15Mayur Naik, Jens Palsberg Compiling with code-size constraints. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Banked architecture, register allocation, integer linear programming, space optimization
15Kellie Michele Evans Is Bosco's Rule Universal? Search on Bibsonomy MCU The full citation details ... 2004 DBLP  DOI  BibTeX  RDF gliders, Larger than Life, sliding block memory, spaceships, cellular automata, universal, bugs, register, Game of Life
15Carole Delporte-Gallet, Hugues Fauconnier, Rachid Guerraoui, Vassos Hadzilacos, Petr Kouznetsov, Sam Toueg The weakest failure detectors to solve certain fundamental problems in distributed computing. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF non-blocking atomic commit, quittable consensus, weakest failure detector, consensus, register
15Bin Zhang 0003, Hongjun Wu 0001, Dengguo Feng, Feng Bao 0001 Chosen Ciphertext Attack on a New Class of Self-Synchronizing Stream Ciphers. Search on Bibsonomy INDOCRYPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 2-adic expansion, Stream cipher, Self-synchronizing, Feedback shift register
15Xinmiao Zhang, Keshab K. Parhi High-speed architectures for parallel long BCH encoders. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BCH, critical loop, iteration bound, parallel processing, encoder, linear feedback shift register, retiming, unfolding, fanout, generator polynomial
15Bin Zhang 0003, Hongjun Wu 0001, Dengguo Feng, Feng Bao 0001 Security Analysis of the Generalized Self-shrinking Generator. Search on Bibsonomy ICICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Stream cipher, Linear feedback shift register, Fast correlation attack, Clock control, Self-shrinking generator
15Jason Cong, Yiping Fan, Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, multi-cycle communication, scheduling, high-level synthesis, register binding
15Amihood Amir, Yonatan Aumann, Richard Cole 0001, Moshe Lewenstein, Ely Porat Function Matching: Algorithms, Applications, and a Lower Bound. Search on Bibsonomy ICALP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF function matching, parameterized matching, Pattern matching, register allocation, protein folding, color indexing
15Sandeepan Chowdhury, Subhamoy Maitra Efficient Software Implementation of LFSR and Boolean Function and Its Application in Nonlinear Combiner Model. Search on Bibsonomy ACNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Block Oriented Software Implementation, Boolean Function, Linear Feedback Shift Register, Resiliency, Nonlinearity, Algebraic Degree
15Achour Mostéfaoui, Sergio Rajsbaum, Michel Raynal, Matthieu Roy A Hierarchy of Conditions for Asynchronous Interactive Consistency. Search on Bibsonomy PaCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Erroneous Value, Fault-Tolerance, Error-Correcting Code, Hamming Distance, Condition, Crash Failure, Atomic Register, Interactive Consistency, Asynchronous Shared Memory System
15Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan A compiler framework for speculative analysis and optimizations. Search on Bibsonomy PLDI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion
15Toru Akishita, Tsuyoshi Takagi Zero-Value Point Attacks on Elliptic Curve Cryptosystem. Search on Bibsonomy ISC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF addition formula, zero-value register, side channel attack, differential power analysis, elliptic curve cryptosystem
15Lawrence T. Clark, Byungwoo Choi, Michael W. Wilkerson Reducing translation lookaside buffer active power. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF memory management units, low power, register files, translation lookaside buffers, dynamic circuits
15Markus Dichtl How to Predict the Output of a Hardware Random Number Generator. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Entropy, Linear Feedback Shift Register, Random Number Generator, Cellular Automaton
15Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
15Yu Huang 0005, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Omer Samman, Wu-Tung Cheng, Sudhakar M. Reddy Synthesis of Scan Chains for Netlist Descriptions at RT-Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan synthesis, design for testability (DFT), register transfer level (RTL)
15Mayur Naik, Jens Palsberg Compiling with code-size constraints. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF banked architecture, register allocation, integer linear programming, space optimization
15Alexandru Nicolau, Nikil D. Dutt, Aviral Shrivastava, Partha Biswas, Ashok Halambi A Design Space Exploration Framework for Reduced Bit-Width Instruction Set Architecture (rISA) Design . Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF compressed instruction set, dual Instruction set, rISA, reduced bit-width instruction set, thumb, design space exploration, register pressure
15Raimund Ubar, Jaan Raik, Eero Ivask, Marina Brik Multi-Level Fault Simulation of Digital Systems on Decision Diagrams. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF register transfer and gate level descriptions, fault simulation, decision diagrams, Digital systems
15Josep Llosa, Eduard Ayguadé, Antonio González 0001, Mateo Valero, Jason Eckhardt Lifetime-Sensitive Modulo Scheduling in a Production Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register requirements, software pipelining, VLIW, instruction scheduling, loop scheduling, Fine grain parallelism, superscalar architectures
15Preeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg Data and memory optimization techniques for embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF data optimization, memory architecture customization, memory power dissipation, high-level synthesis, survey, SRAM, allocation, data cache, DRAM, register file, architecture exploration, code transformation, address generation, size estimation
15Pei-Chi Wu Random number generation with primitive pentanomials. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Primitive trinomials, generalized feedback shift register
15Zhigang Yin, Yinghua Min, Xiaowei Li 0001 An Approach to RTL Fault Extraction and Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ATPG (Automatic Test Pattern Generation), RTL (Register Transfer Level), Fault
15Eric Filiol, Caroline Fontaine A New Ultrafast Stream Cipher Design: COS Ciphers. Search on Bibsonomy IMACC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF vectorized cipher, high speed encryption, Boolean functions, stream cipher, block cipher, nonlinear feedback shift register
15Chih-Yung Chang, Tzung-Shi Chen, Jang-Ping Sheu Improving Memory Traffic by Assembly-Level Exploitation of Reuses for Vector Registers. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF vector register, partial reuse, vector compilers, vectorization, data dependence, supercomputer, reuse distance
15Jaan Raik, Raimund Ubar Fast Test Pattern Generation for Sequential Circuits Using Decision Diagram Representations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical test pattern generation, sequential circuits, register-transfer level, decision diagrams
15Ashok Sudarsanam, Sharad Malik Simultaneous reference allocation in code generation for dual data memory bank ASIPs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF memory bank assignment, code generation, register allocation, code optimization, graph labelling
15Erik Elmroth, Fred G. Gustavson High-Performance Library Software for QR Factorization. Search on Bibsonomy PARA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Serial and parallel library software, register blocking, unrolling, SMP systems, recursion, dynamic load balancing, QR factorization
Displaying result #801 - #900 of 5677 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license