The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for router with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (17) 1981-1984 (16) 1985-1986 (20) 1987 (15) 1988 (22) 1989-1990 (49) 1991 (20) 1992 (24) 1993 (24) 1994 (40) 1995 (29) 1996 (45) 1997 (56) 1998 (56) 1999 (81) 2000 (115) 2001 (141) 2002 (173) 2003 (209) 2004 (262) 2005 (357) 2006 (339) 2007 (322) 2008 (339) 2009 (232) 2010 (158) 2011 (139) 2012 (118) 2013 (129) 2014 (113) 2015 (107) 2016 (88) 2017 (71) 2018 (92) 2019 (89) 2020 (91) 2021 (86) 2022 (68) 2023 (75) 2024 (16)
Publication types (Num. hits)
article(1191) book(4) data(1) incollection(7) inproceedings(3224) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3144 occurrences of 1337 keywords

Results
Found 4443 publication records. Showing 4443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Shinji Sakamoto, Admir Barolli, Yi Liu, Leonard Barolli, Makoto Takizawa 0001 Performance Evaluation of FC-RDVM Router Placement Method for WMNs Considering Normal, Uniform, Chi-square and Weibull Distributions of Mesh Clients. Search on Bibsonomy NBiS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jiann-Liang Chen, Jian-Chang Hsu, Candra Ahmadi, Bagus Tri Atmaja, Chia-Chi Lin, Siao-He Wang, Shu-Yu Lin Development of Security Target for Router Based on ENISA Common Criteria Framework. Search on Bibsonomy ICACT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yoichiro Ueno, Akihiko Tsukahara, Noriharu Miyaho Next Generation Connectionless IP router architecture with Switching Delay for URLLC Services. Search on Bibsonomy HPSR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jiarui Wang, Jing Mai, Zhixiong Di, Yibo Lin A Robust FPGA Router with Concurrent Intra-CLB Rerouting. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Atsushi Ooka, Hitoshi Asaeda CCNx Router on FPGA Accelerator Achieving Predictable Performance. Search on Bibsonomy ICN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xingguo Long, Kun Huang, Rongwei Yang, Qingguo Dai, Zhenyu Li 0001 Pegasus: A High-Speed NDN Router with Programmable Switches and Server Clusters. Search on Bibsonomy ICN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Long Pan, Jiahai Yang 0001, Lin He 0004, Zhiliang Wang, Leyao Nie, Guanglei Song, Yaozhong Liu Your Router is My Prober: Measuring IPv6 Networks via ICMP Rate Limiting Side Channels. Search on Bibsonomy NDSS The full citation details ... 2023 DBLP  BibTeX  RDF
12Nan Jiang, Jessie Hui Wang, Jilong Wang 0001, Peiran Wang Top AS Router Geolocation in Databases: Performance and Techniques. Search on Bibsonomy GLOBECOM The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Pronaya Bhattacharya, Anwesha Mukherjee, Sudeep Tanwar, Emil Pricop Zero-Load: A Zero Touch Network based router management scheme underlying 6G-IoT ecosystems. Search on Bibsonomy ECAI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Daniel Vogel 0004, Markus Krämer, Ben Swierzy, Daniel Meyer, Michael Meier 0001 On the Feasibility of Detecting Non-Cooperative Wi-Fi Devices via a Single Wi-Fi-Router. Search on Bibsonomy IPIN-WiP The full citation details ... 2023 DBLP  BibTeX  RDF
12Baptiste Jadot, Marcos Zurita, Gérard Billiot, Yvain Thonnart, Loïck Le Guevel, Mathieu Darnas, Candice Thomas, Jean Charbonnier, Tristan Meunier, Maud Vinet, Franck Badets, Gaël Pillonnet A Cryogenic Active Router for Qubit Array Biasing from DC to 320 MHz at 100 nm Gate Pitch. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yao Tang, K. T. Chau 0001, Wei Liu 0098, Jian Guo Optimal Deployment of Traffic Energy Router for Wireless Energy Trading. Search on Bibsonomy IECON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Alireza Famili, Tolga O. Atalay, Angelos Stavrou, Haining Wang Wi-Six: Precise Positioning in the Metaverse via Optimal Wi-Fi Router Deployment in 6G Networks. Search on Bibsonomy MetaCom The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ruiqi Wang, Dezun Dong, Fei Lei, Junchao Ma, Ke Wu, Kai Lu Roar: A Router Microarchitecture for In-network Allreduce. Search on Bibsonomy ICS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Haotian Wang, Mingzhu Zhao, Meiju Yu A Caching Placement Strategy Based on Dynamic Router Hierarchy for Named Data Networking. Search on Bibsonomy ICPADS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Keyang Yu, Dong Chen PAROS: The Missing "Puzzle" in Smart Home Router Operating Systems. Search on Bibsonomy ICCCN The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Rajdeep Mondal, Alan Tang, Ryan Beckett, Todd D. Millstein, George Varghese What do LLMs need to Synthesize Correct Router Configurations? Search on Bibsonomy HotNets The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu, Leonard Barolli, Makoto Takizawa 0001 Assessment of FC-RDVM and LDIWM Router Replacement Methods by WMN-PSOHC Hybrid Simulation System Considering Chi-Square Mesh Client Distribution. Search on Bibsonomy IMIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Maryam Sadeghi, Yasser Rezaeiyan, Dario Fernandez Khatiboun, Farshad Moradi Hardware Implementation of a Resource-Efficient Router for Multi-Core Spiking Neural Networks. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jiahua Yan, Mingyu Wang, Yao Qin, Zhiyi Yu CRAFT: Common Router Architecture for Throughput Optimization. Search on Bibsonomy ICA3PP (3) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xinda Chen, Rongliang Fu, Junying Huang, Huawei Cao, Zhimin Zhang 0004, Xiaochun Ye, Tsung-Yi Ho, Dongrui Fan JRouter: A Multi-Terminal Hierarchical Length-Matching Router under Planar Manhattan Routing Model for RSFQ Circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yelyzaveta Meleshko, Hanna Drieieva, Oleksandr Drieiev, Mykola Yakymenko, Volodymyr Mikhav, Serhii Shymko A Method of Routing of Fractal-like Traffic with Prediction of Router Load for Reduce the Probability of Network Packet Loss. Search on Bibsonomy COLINS (3) The full citation details ... 2023 DBLP  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 A Comparison Study of FC-RDVM and RIWM Router Placement Methods for WMNs: Performance Evaluation Results by WMN-PSOHC Simulation System Considering Chi-Square Distribution and Different Instances. Search on Bibsonomy AINA (1) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Shinji Sakamoto, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 Performance Evaluation of FC-RDVM and LDIWM Router Placement Methods for WMNs by WMN-PSODGA Hybrid Simulation System Considering Load Balancing and Chi-Square Distribution of Mesh Clients. Search on Bibsonomy AINA (2) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12George Chalhoub, Andrew Martin 0002 But is it exploitable? Exploring how Router Vendors Manage and Patch Security Vulnerabilities in Consumer-Grade Routers. Search on Bibsonomy EuroUSEC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Kevin Bylykbashi, Leonard Barolli, Ermioni Qafzezi, Shinji Sakamoto, Makoto Takizawa 0001 A Comparison Study of LDVM and RDVM Router Replacement Methods by WMN-PSODGA Hybrid Simulation System Considering Two Islands Distribution of Mesh Clients. Search on Bibsonomy EIDWT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 A Comparison Study of FC-RDVM and LDVM Router Placement Methods for WMNs Considering Uniform Distribution of Mesh Clients and Different Instances. Search on Bibsonomy EIDWT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Leonard Barolli, Admir Barolli, Shinji Sakamoto, Evjola Spaho Assessment of Four Mesh Router Replacement Methods for Small and Middle Scale Wireless Mesh Networks Considering Two Islands Distribution of Mesh Clients. Search on Bibsonomy INCoS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yuki Nagai, Tetsuya Oda, Kyohei Toyoshima, Chihiro Yukawa, Sora Asada, Tomoaki Matsui, Leonard Barolli A CCM, SA and FDTD Based Mesh Router Placement Optimization in WMN. Search on Bibsonomy CISIS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Taha Albakour, Oliver Gasser, Robert Beverly, Georgios Smaragdakis Illuminating Router Vendor Diversity Within Providers and Along Network Paths. Search on Bibsonomy IMC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sakthivel Subramaniam, Muthaiyan Manikandan, Vijayarangan Vivekanandhan Design of efficient location-based multipath self-adaptive balancer router using particle swarm optimization in wireless sensor network. Search on Bibsonomy Int. J. Commun. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yun Zhou, Pongstorn Maidee, Chris Lavin, Alireza Kaviani, Dirk Stroobandt RWRoute: An Open-source Timing-driven Router for Commercial FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sylia Mekhmoukh Taleb, Yassine Meraihi, Asma Benmessaoud Gabis, Seyedali Mirjalili, Atef Zaguia, Amar Ramdane-Cherif Solving the Mesh Router Nodes Placement in Wireless Mesh Networks Using Coyote Optimization Algorithm. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Negin Bagheri Renani, Elham Yaghoubi, Naser Sadehnezhad, Tofigh Abbasi NLR-OP: a high-performance optical router based on North-Last turning model for multicore processors. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Kevin Bylykbashi, Ermioni Qafzezi, Shinji Sakamoto, Leonard Barolli Performance Evaluation of Chi-Square and Normal Distributions of Mesh Clients for WMNs Considering Five Router Replacement Methods. Search on Bibsonomy Int. J. Distributed Syst. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Arnab Kumar Biswas Using Pattern of On-Off Routers and Links and Router Delays to Protect Network-on-Chip Intellectual Property. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Chen Ding, Yuxiang Huan, Hao Jia, Yulong Yan, Fanxi Yang, Lizheng Liu, Meigen Shen, Zhuo Zou, Lirong Zheng 0001 A Hybrid-Mode On-Chip Router for the Large-Scale FPGA-Based Neuromorphic Platform. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Xiaojun Zhao, Yingrui Liu, Xiuhui Chai, Xiaoqiang Guo, Xiaohuan Wang, Chunjiang Zhang, Tongzhen Wei, Changli Shi, Dongqiang Jia Multimode Operation Mechanism Analysis and Power Flow Flexible Control of a New Type of Electric Energy Router for Low-Voltage Distribution Network. Search on Bibsonomy IEEE Trans. Smart Grid The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wu Zhou, Yiming Ouyang, Yingchun Lu, Huaguo Liang A router architecture with dual input and dual output channels for Networks-on-Chip. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Luka Daoud, Nader Rafla 0001 Efficient mitigation technique for Black Hole router attack in Network-on-Chip. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ashish Sharma 0005, Manoj Singh Gaur, Lava Bhargava, Vijay Laxmi, Manoj Gupta Pre-Silicon NBTI Delay-Aware Modeling of Network-on-Chip Router Microarchitecture. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Elnaz Shafigh Fard, Mohammad Ali Jabraeil Jamali, Mohammad Masdari, Kambiz Majidzadeh An efficient NoC router by optimal management of buffer read and write mechanism. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Lutong Wang, Bangqi Xu TritonRoute-WXL: The Open-Source Router With Integrated DRC Engine. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Qi Xu, Hao Geng, Tianming Ni, Song Chen 0001, Bei Yu 0001, Yi Kang, Xiaoqing Wen Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy Structure. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Cunlu Li, Dezun Dong, Xiangke Liao MUA-Router: Maximizing the Utility-of-Allocation for On-chip Pipelining Routers. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ahmad Adel Abu Shareha, Basil Al-Kasasbeh, Qusai Shambour, Mosleh M. Abu-Alhaj, Mohmmad Abdalla Alsharaiah, Sumaya N. Al-Khatib Linear Random Early Detection for Congestion Control at the Router Buffer. Search on Bibsonomy Informatica (Slovenia) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Maxime France-Pillois, Abdoulaye Gamatié, Gilles Sassatelli A Segmented Adaptive Router for Near Energy-Proportional Networks-on-Chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yiming Ouyang, Dongyu Xu, Zhimou Chen, Rongjing Chen, Wu Zhou, Huaguo Liang REE: Reconfigurable and energy-efficient router architecture in wireless network-on-chip. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zhu Jin, Wen-Kang Jia P3FA: Unified Unicast/Multicast Forwarding Algorithm for High-Performance Router/Switch. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Rui Wang 0059, Shaoxu Jiang, Dazhong Ma, Qiuye Sun, Huaguang Zhang, Peng Wang 0017 The Energy Management of Multiport Energy Router in Smart Home. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Long Pan, Jiahai Yang 0001, Lin He 0004, Zhiliang Wang, Leyao Nie, Guanglei Song, Yaozhong Liu Your Router is My Prober: Measuring IPv6 Networks via ICMP Rate Limiting Side Channels. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Aaron Gember-Jacobson, Ruchit Shrestha, Xiaolin Sun Localizing Router Configuration Errors Using Minimal Correction Sets. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zhiding Liu, Mingyue Cheng, Zhi Li, Qi Liu 0003, Enhong Chen One Person, One Model-Learning Compound Router for Sequential Recommendation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Kevin Bylykbashi, Ermioni Qafzezi, Shinji Sakamoto, Leonard Barolli Implementation of roulette wheel and random selection methods in a hybrid intelligent system: A comparison study for two Islands and Subway distributions considering different router replacement methods. Search on Bibsonomy Appl. Soft Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ahmad Adel Abu Shareha Integrated Random Early Detection for Congestion Control at the Router Buffer. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Marvin Jäschke OLG Köln: Router als Endgeräte i.S.d. TSM-VO. Search on Bibsonomy Comput. und Recht The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Tomoki Shimizu, Kohei Ito, Kensuke Iizuka, Kazuei Hironaka, Hideharu Amano The Implementation of a Hybrid Router and Dynamic Switching Algorithm on a Multi-FPGA System. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ahmad Adel Abu Shareha, Basil Al-Kasasbeh, Qusai Shambour, Mosleh M. Abu-Alhaj, Sumaya N. Al-Khatib Fuzzy Comprehensive Random Early Detection of Router Congestion. Search on Bibsonomy Inf. Technol. Control. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Xiaoping Deng, Lujuan Ma, Xiaoping Liu 0004 CCAIB: Congestion Control Based on Adaptive Integral Backstepping for Wireless Multi-Router Network. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Shinji Sakamoto, Kevin Bylykbashi, Leonard Barolli A Hybrid Intelligent Simulation System for Building IoT Networks: Performance Comparison of Different Router Replacement Methods for WMNs Considering Stadium Distribution of IoT Devices. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Le Huu Binh, Tung Khac Truong An Efficient Method for Solving Router Placement Problem in Wireless Mesh Networks Using Multi-Verse Optimizer Algorithm. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shuodi Zu, Xiangyang Luo, Fan Zhang IP-geolocater: a more reliable IP geolocation algorithm based on router error training. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Amin Jarrah, Mohammad Omar Alshiab, Mohammad M. Shurman 0001 High Performance Changeable Dynamic Gentle Random Early Detection (CDGRED) for Congestion Control at Router Buffer. Search on Bibsonomy Int. J. Grid High Perform. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 Performance Comparison of FC-RDVM and RDVM Router Replacement Methods by WMN-PSOHC Simulation System Considering Exponential Distribution of Mesh Clients. Search on Bibsonomy 3PGCIC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 Performance Comparison of FC-RDVM and RDVM Router Replacement Methods by WMN-PSOHC Simulation System Considering Different Instances. Search on Bibsonomy NBiS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zhiding Liu, Mingyue Cheng, Zhi Li, Qi Liu 0003, Enhong Chen One Person, One Model - Learning Compound Router for Sequential Recommendation. Search on Bibsonomy ICDM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Thomas Papastergiou, Roberto Perdisci, Manos Antonakakis Returning to Port: Efficient Detection of Home Router Devices. Search on Bibsonomy CNS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sundas Iftikhar, Muhammed Golec, Deepraj Chowdhury, Sukhpal Singh Gill, Steve Uhlig Fog Computing based Router-Distributor Application for Sustainable Smart Home. Search on Bibsonomy VTC Spring The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zhen Zhuang, Genggeng Liu, Tsung-Yi Ho, Bei Yu 0001, Wenzhong Guo TRADER: A Practical Track-Assignment-Based Detailed Router. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ahsen Ejaz, Ioannis Sourdis FastTrackNoC: A NoC with FastTrack Router Datapaths. Search on Bibsonomy HPCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Thiemo Leonhardt, David Baberowski, Nadine Bergner Inside the Router: An interactive VR learning application to practice routing and network address translation. Search on Bibsonomy DELFI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Travis Ricker, Khondaker Musfakus Salehin, Yi Wang, Alex Chen, Eiji Oki, Roberto Rojas-Cessa A Machine Learning Approach to Estimating Queuing Delay on a Router over a Single-Hop Path. Search on Bibsonomy ICC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jiayuan He 0003, Udit Agarwal, Yihang Yang, Rajit Manohar, Keshav Pingali SPRoute 2.0: A detailed-routability-driven deterministic parallel global router with soft capacity. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Hsin-Chuan Huang, Chi-Chun Liang, Qining Wang, Xing Huang, Tsung-Yi Ho, Chang-Jin Kim 0001 NR-Router: Non-Regular Electrode Routing with Optimal Pin Selection for Electrowetting-on-Dielectric Chips. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Berkan Ufuk, Mehmet Tahir Sandikkaya Moving Target Defense Router: MaTaDoR. Search on Bibsonomy SECRYPT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wencai Gao, Xiaowen Chen, Chen Li, Jianzhuang Lu, Hanyan Liu HCER: A High Cost-Effectiveness Multi-Bit Fault-Tolerant NoC Router. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shuhan Chen, Congqi Shen, Chunming Wu 0001, Yi Shen 0012 DeepThrottle: Deep Reinforcement Learning for Router Throttling to Defend Against DDoS Attack in SDN. Search on Bibsonomy IPCCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Timo Nihtilä, Tarmo Taipale Energy Saving Router Rotation Protocol for DECT-2020 NR. Search on Bibsonomy WiMob The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Peng Zhang 0011, Dan Wang, Aaron Gember-Jacobson Symbolic router execution. Search on Bibsonomy SIGCOMM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Kyota Hattori, Tomohiro Korikawa, Chikako Takasaki, Hidenari Oowada, Masafumi Shimizu Recursive Router Metrics Prediction Using ML-based Node Modeling for Network Digital Replica. Search on Bibsonomy GLOBECOM The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Kevin Bylykbashi, Ermioni Qafzezi, Shinji Sakamoto, Leonard Barolli, Makoto Takizawa 0001 A Comparison Study of UNDX and UNDX-m Methods for LDVM and RDVM Router Replacement Methods by WMN-PSODGA Hybrid Intelligent System Considering Stadium Distribution. Search on Bibsonomy BWCCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 A Comparison Study of FC-RDVM with LDVM Router Replacement Methods by WMN-PSOHC Simulation System Considering Weibull Distribution of Mesh Clients. Search on Bibsonomy BWCCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Xueqing Qi, Zhikang Li, Haojun Qin, Ming Liu 0013, Chengbin Ma Multi-port Energy Router-based Battery Pack Active Balance Control System. Search on Bibsonomy IECON The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 A Comparison Study of FC-RDVM and LDVM Router Placement Methods for WMNs by WMN-PSOHC Hybrid Intelligent System Considering Normal Distribution of Mesh Clients. Search on Bibsonomy IMIS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Abel Yu Hao Chai, Chean Hung Lai, Fei Siang Tay, Yi Lung Then, Hieng Tiong Su, Natalie Chen Yi Lim, Chamath K. Vithanawasam Development and Application of Outdoor Router Cost Estimation with Parametric Modelling Technique. Search on Bibsonomy CIVEMSA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Luka Daoud, Nader Rafla 0001 Energy-Efficient Black Hole Router Detection in Network-on-Chip. Search on Bibsonomy SOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Antonio Napoli, Zdravko Stevkovski, José D. M. Jiménez, J. E. Edward Zuleta, Johan Bäck, João Pedro 0001, Julia Rodríguez, Rafael Diaz, Jose Carrallo, Atul Mathur, Juan Pedro Fernández-Palacios Gimenez, Fady Masoud, Dave Welch 0001 Enabling Router Bypass and Saving Cost using Point-to-Multipoint Transceivers for Traffic Aggregation. Search on Bibsonomy OFC The full citation details ... 2022 DBLP  BibTeX  RDF
12Sara Alam, Devon Lee, Aaron Gember-Jacobson Poster: Identifying Syntactic Motifs and Errors in Router Configurations Using Graphs. Search on Bibsonomy ICNP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Liangzi Li, Ke-Jun Li, Kaiqi Sun, Yuanyuan Sun, Zhijie Liu, Jie Lou A System-guidance for Power Router Applications in DC Buildings. Search on Bibsonomy IAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Aoto Hirata, Tetsuya Oda, Nobuki Saito, Yuki Nagai, Tomoya Yasunaga, Kengo Katayama, Leonard Barolli A Voronoi Edge and CCM-Based SA Approach for Mesh Router Placement Optimization in WMNs: A Comparison Study for Different Edges. Search on Bibsonomy AINA (3) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Shinji Sakamoto, Leonard Barolli Performance Analysis of RIWM and RDVM Router Replacement Methods for WMNs by WMN-PSOSA-DGA Hybrid Simulation System Considering Stadium Distribution of Mesh Clients. Search on Bibsonomy EIDWT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Admir Barolli, Phudit Ampririt, Shinji Sakamoto, Elis Kulla, Leonard Barolli A Comparison Study of RIWM with RDVM and CM Router Replacement Methods for WMNs Considering Boulevard Distribution of Mesh Clients. Search on Bibsonomy EIDWT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Aoto Hirata, Tetsuya Oda, Nobuki Saito, Tomoya Yasunaga, Kengo Katayama, Leonard Barolli A Delaunay Edge and CCM-Based SA Approach for Mesh Router Placement Optimization in WMN: A Case Study for Evacuation Area in Okayama City. Search on Bibsonomy EIDWT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 A Comparison Study of FC-RDVM and LDVM Router Placement Methods for WMNs by WMN-PSOHC Simulation System Considering Different Instances. Search on Bibsonomy INCoS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Róbert Csordás, Kazuki Irie, Jürgen Schmidhuber The Neural Data Router: Adaptive Control Flow in Transformers Improves Systematic Generalization. Search on Bibsonomy ICLR The full citation details ... 2022 DBLP  BibTeX  RDF
12Neelkamal, Sonal Yadav, Hemangee K. Kapoor i-MAX: Just-In-Time Wakeup of Maximally Gated Router for Power Efficient Multiple NoC. Search on Bibsonomy VDAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinji Sakamoto, Admir Barolli, Yi Liu 0011, Elis Kulla, Leonard Barolli, Makoto Takizawa 0001 A Fast Convergence RDVM for Router Placement in WMNs: Performance Comparison of FC-RDVM with RDVM by WMN-PSOHC Hybrid Intelligent System. Search on Bibsonomy CISIS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Junxiu Liu, Dong Jiang, Yuling Luo, Senhui Qiu, Yongchuang Huang Minimally buffered deflection router for spiking neural network hardware implementations. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Mariusz Wzorek, Cyrille Berger, Patrick Doherty 0001 Router and gateway node placement in wireless mesh networks for emergency rescue scenarios. Search on Bibsonomy Auton. Intell. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12 An AC-DC/DC-DC hybrid multi-port embedded energy router based steady-state power flow optimizing in power system using substantial transformative energy management strategy. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 4443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license