The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "A-SSCC"( http://dblp.L3S.de/Venues/A-SSCC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/asscc

Publication years (Num. hits)
2011 (103) 2012 (125) 2014 (97) 2015 (87) 2016 (91) 2017 (84) 2018 (91) 2019 (89) 2020 (42) 2021 (104) 2023 (100)
Publication types (Num. hits)
inproceedings(1002) proceedings(11)
Venues (Conferences, Journals, ...)
A-SSCC(1013)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1013 publication records. Showing 1013 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ren Hui, Dou Renhui, Ni Yimin, Fan Chen The application of IEC 61850 on integrated intelligent components for transformer. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yongzhi Qi, Yutian Liu Finite control on wind power ramping event. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Manan Deb, Tuhina Singh Design of a common LV switchboard to comply with IEC and UL standards. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chengchen Sun, Yue Yuan, Qiang Li A new method for wind speed forecasting based on empirical mode decomposition and improved persistence approach. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ting-Yen Hsieh, Tsai-Hsiang Chen, Van-Tan Tran Assessment of effects of capacitor banks on harmonic distortion by a direct Z-bus power flow method. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yuta Tanaka, Ken Suzuki, Shinichi Iwamoto Shunt capacitor renewal planning through cost leveling strategy using condition age model. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yanmin Guo, Ping Shao, Junhong Guo, JingPeng Cai Design of purchasing costs analysis system for grid companies. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Takeshi Nagata, Yuji Ueda, Masahiro Utatani A multi-agent approach to smart grid energy management. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehdi Bagheri, Mohammad Salay Naderi, Trevor Blackburn, Bao Toan Phung, Zhenyu Liu Frequency Response Analysis to recognize inductance variation in transformer due to internal short circuit. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eakchai Junput, Sathit Chantree, Monthon Leelajindakrairerk, Chai-Chow Chompoo-Inwai Optimal technique for total harmonic distortion detection and estimation for smart meter. Search on Bibsonomy A-SSCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Po-Lin Chiu, Lin-Zheng Huang, Li-Wei Chai, Chun-Fu Liao, Yuan-Hao Huang A 684Mbps 57mW joint QR decomposition and MIMO processor for 4×4 MIMO-OFDM systems. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Se-Won Wang, Young-Jin Woo, Sung-Ho Bae, Tae-Hwang Kong, Gyu-Ha Cho, Gyu-Hyeong Cho A high stability DC-DC Boost Converter with Ripple Current Control and capacitor-free LDOs for AMOLED display. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Keiichi Kushida, Osamu Hirabayashi, Fumihiko Tachibana, Hiroyuki Hara, Atsushi Kawasumi, Azuma Suzuki, Yasuhisa Takeyama, Yuki Fujimura, Yusuke Niki, Miyako Shizuno, Shinichi Sasaki, Tomoaki Yabe A trimless, 0.5V-1.0V wide voltage operation, high density SRAM macro utilizing dynamic cell stability monitor and multiple memory cell access. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Samkyu Won, Yujong Noh, Hyunchul Cho, Jeil Ryu, Sungwook Choi, Sungdae Choi, DuckJu Kim, Junseop Chung, Bong-Seok Han, Eui-Young Chung High-voltage wordline generator for low-power program operation in NAND flash memories. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1 IEEE Asian Solid-State Circuits Conference, A-SSCC 2011, Jeju, South Korea, November 14-16, 2011 Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  BibTeX  RDF
1Toshiaki Masuhara Challenge of low voltage and low power IC toward sustainable future. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tao-Yao Chang, Chao-Shiun Wang, Chorng-Kuang Wang A low power W-band PLL with 17-mW in 65-nm CMOS technology. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chris Toumazou, Pantelis Georgiou Bio-inspired semiconductors for early detection and therapy. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chang-Ming Lai, Meng-Hung Shen, Geng-Yi Pan, Po-Chiun Huang A 90nm CMOS, 5.6ps, 0.23pJ/code time-to-digital converter with multipath oscillator and seamless cycle detection. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1X. Wang, Kathleen Philips, C. Zhou, Ben Busze, Hans W. Pflug, Alex Young, Jac Romme, Pieter Harpe, Sumit Bagga, Stefano D'Amico, Marcello De Matteis, Andrea Baschirotto, Harmke de Groot A high-band IR-UWB chipset for real-time duty-cycled communication and localization systems. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rong Wu, Johan H. Huijsing, Kofi A. A. Makinwa A 21-bit read-out IC employing dynamic element matching with 0.037% gain error. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mark Ingels, Vincenzo Chironi, Björn Debaillie, Andrea Baschirotto, Jan Craninckx An impedance modulated class-E polar amplifier in 90 nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Philip Amberg, Frankie Liu, Michael Dayringer, Jon K. Lexau, Dinesh Patil, Jonathan Gainsley, Hesam Fathi Moghadam, Elad Alon, Xuezhe Zheng, John E. Cunningham, Ashok V. Krishnamoorthy, Ron Ho Digitally-assisted analog circuits for a 10 Gbps, 395 fJ/b optical receiver in 40 nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kazutoshi Tomita, Ryota Shinoda, Tadahiro Kuroda, Hiroki Ishikuro 1W 3.3V-to-16.3V boosting wireless power transfer circuits with vector summing power controller. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kai-Ting Shr, Yu-Cheng Chang, Chu-Yi Lin, Yuan-Hao Huang A 6.6pJ/bit/iter radix-16 modified log-MAP decoder using two-stage ACS architecture. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1I-Ting Lee, Yun-Ta Tsai, Shen-Iuan Liu A leakage-current-recycling phase-locked loop in 65nm CMOS technology. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhiming Chen, Kuang-Wei Cheng, Yuanjin Zheng, Minkyu Je A 3.4-mW 54.24-Mbps burst-mode injection-locked CMOS FSK transmitter. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yu-Huei Lee, Chao-Chang Chiu, Ke-Horng Chen, Ying-Hsi Lin, Chen-Chih Huang On-the-fly dynamic voltage scaling (DVS) in 65nm energy-efficient power management with frequency-based control (FBC) for SoC system. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tien-Yu Lo A 102dB dynamic range audio sigma-delta modulator in 40nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Peter Chung-Yu Wu Medical electronics - A challenging research and industry frontier. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1B. Robert Gregoire, Tawfiq Musah, Nima Maghari, Skyler Weaver, Un-Ku Moon A 30% beyond VDD signal swing 9-ENOB pipelined ADC using a 1.2V 30dB loop-gain opamp. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ying Cao 0008, Paul Leroux, Wouter De Cock, Michiel Steyaert A 0.7mW 13b temperature-stable MASH ΔΣ TDC with delay-line assisted calibration. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Youm Huh Future direction of power management in mobile devices. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Valentijn De Smedt, Georges G. E. Gielen, Wim Dehaene A 0.6V to 1.6V, 46μW voltage and temperature independent 48 MHz pulsed LC oscillator for RFID tags. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masafumi Onouchi, Kazuo Otsuga, Yasuto Igarashi, Toyohito Ikeya, Sadayuki Morita, Koichiro Ishibashi, Kazumasa Yanagisawa A 1.39-V input fast-transient-response digital LDO composed of low-voltage MOS transistors in 40-nm CMOS process. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Dae Young Lee, David D. Wentzloff, John P. Hayes A 900 Mbps single-channel capacitive I/O link for wireless wafer-level testing of integrated circuits. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rahul Rithe, Chih-Chi Cheng, Anantha P. Chandrakasan Quad Full-HD transform engine for dual-standard low-power video coding. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiao Peng 0002, Zhixiang Chen 0002, Xiongxin Zhao, Dajiang Zhou, Satoshi Goto A 115mW 1Gbps QC-LDPC decoder ASIC for WiMAX in 65nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Filippo Borlenghi, Ernst Martin Witte, Gerd Ascheid, Heinrich Meyr, Andreas Peter Burg A 772Mbit/s 8.81bit/nJ 90nm CMOS soft-input soft-output sphere decoder. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Zhichao Tan, Roel Daamen, Aurelie Humbert, Kamran Souri, Youngcheol Chae, Youri V. Ponomarev, Michiel A. P. Pertijs A 1.8V 11μW CMOS smart humidity sensor for RFID sensing applications. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Neena A. Gilda, Sheetal Patil, V. Seena, Sanjay Joshi, Viral Thaker, Sanket Thakur, Amaravati Anvesha, Maryam Shojaei Baghini, Dinesh Kumar Sharma, V. Ramgopal Rao Piezoresistive 6-MNA coated microcantilevers with signal conditioning circuits for electronic nose. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lan-Chou Cho, Hsiang-Hui Chang, Augusto Marques, Albert Yang, Chinq-Shiun Chiu, Guang-Kaai Dehng A 1.22/6.7 ppm/°C VCO with frequency-drifting compensator in 60 nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Pilsoon Choi, Yongseok Yi, Kilsik Ha, Yun-Gu Lee, Chil-Youl Hacky Yang, Seyoung Shin, Byung-Ho Ahn, Sung-Chul Park, Hyun-Tae Gil, Scott Seongwook Lee, Joongsuk Park, Jaemoon Jo An 18ms-latency wireless high quality codec SoC for full HD streaming. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chen-Yen Ho, Zwei-Mei Lee, Mu-Chen Huang, Sheng-Jui Huang A 75.1dB SNDR, 80.2dB DR, 4th-order feed-forward continuous-time sigma-delta modulator with hybrid integrator for silicon TV-tuner application. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Po-Hung Chen, Koichi Ishida, Xin Zhang 0025, Yasuyuki Okuma, Yoshikatsu Ryu, Makoto Takamiya, Takayasu Sakurai A 80-mV input, fast startup dual-mode boost converter with charge-pumped pulse generator for energy harvesting. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masanori Kurimoto, Yasuhiko Takahashi, Yuji Fujiwara, Mamoru Sakugawa, Souichi Kobayashi, Hiroyuki Kondo System performance and energy consumption improvement methodology by delay adjustable synchronizer. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jason Yi Jun Tan, Xu Liu 0002, Keng Hoong Wee, Shih-Cheng Yen, Yong Ping Xu A programmable muscle stimulator based on dual-slope charge balance. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hiroki Asada, Keigo Bunsen, Kota Matsushita, Rui Murakami, Qinghong Bu, Ahmed Musa, Takahiro Sato, Tatsuya Yamaguchi, Ryo Minami, Toshihiko Ito, Kenichi Okada, Akira Matsuzawa A 60GHz 16Gb/s 16QAM low-power direct-conversion transceiver using capacitive cross-coupling neutralization in 65 nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1I-Ting Lee, Chiao-Hsing Wang, Shen-Iuan Liu 3.6mW D-band divide-by-3 injection-locked frequency dividers in 65nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Po-Yun Hsiao, Yao-Hong Liu, Tsung-Hsien Lin An energy-efficient super-regenerative ASK receiver with a ΔΣ-based pulse-width demodulator. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jae-Hyuck Woo, Jae-Goo Lee, In-Suk Kim, Young-Hyun Jun, Gyoo-Cheol Hwang, Myung-Hee Lee, Bai-Sun Kong Line inversion-based mobile TFT-LCD driver IC with accurate quadruple-gamma-curve correction. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yan Zhu 0001, Chi-Hang Chan, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins, Franco Maloberti A 35 fJ 10b 160 MS/s pipelined-SAR ADC with decoupled flip-around MDAC and self-embedded offset cancellation. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bruce Andrew Doyle, Alvin Leng Sun Loke, Sanjeev K. Maheshwari, Charles Lin Wang, Dennis Michael Fischette, Jeffrey G. Cooper, Sanjeev K. Aggarwal, Tin Tin Wee, Chad O. Lackey, Harishkumar S. Kedarnath, Michael M. Oshima, Gerry R. Talbot, Emerson S. Fang Extending HyperTransport™ technology to 8.0 Gb/s in 32-nm SOI-CMOS processors. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Po-Hsiang Lan, Tsung-Ju Yang, Po-Chiun Huang An asynchronous digitally-controlled switching converter with adaptive resolution and dynamic power saving to achieve higher than 93.5% efficiency between 5mA and 250mA load. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Norihiro Kamae, Akira Tsuchiya, Hidetoshi Onodera An area effective forward/reverse body bias generator for within-die variability compensation. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yao-Hong Liu, Hao-Hung Lo, Li-Guang Chen, Tsung-Hsien Lin A 15-mW 2.4-GHz IEEE 802.15.4 transmitter with a FIR-embedded phase modulator. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Masao Takayama, Shiro Dosho, Noriaki Takeda, Masaya Miyahara, Akira Matsuzawa A time-domain architecture and design method of high speed A-to-D converters with standard cells. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Andrzej Radecki, Noriyuki Miura, Hiroki Ishikuro, Tadahiro Kuroda Rotary coding for power reduction and S/N improvement in inductive-coupling data communication. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yu-Cheng Chang, Wei-Hao Chiu, Chen-Chien Lin, Tsung-Hsien Lin A 4MHz BW 69dB SNDR continuous-time delta-sigma modulator with reduced sensitivity to clock jitter. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jenlung Liu, Sehyung Jeon, Tae-Kwang Jang, Dohyung Kim, Jihyun F. Kim, Jaejin Park, Hojin Park A 0.8V, sub-mW, varactor-tuning ring-oscillator-based clock generator in 32nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, Hoi-Jun Yoo A low energy crystal-less double-FSK transceiver for wireless body-area-network. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bruce L. Bateman, Chang Hua Siau, Christophe J. Chevallier Low power cross-point memory architecture. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xinpeng Xing, Maarten De Bock, Pieter Rombouts, Georges G. E. Gielen A 40MHz 12bit 84.2dB-SFDR continuous-time delta-sigma modulator in 90nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Si-Seng Wong, U. Fat Chio, Chi-Hang Chan, Hou-Lon Choi, Sai-Weng Sin, Seng-Pan U, Rui Paulo Martins A 4.8-bit ENOB 5-bit 500MS/s binary-search ADC with minimized number of comparators. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shoko Ohteru, Tomoaki Kawamura, Hiroki Suto, Masami Urano, Mamoru Nakanishi, Tsugumichi Shibata A 22-Gb/s and over-33-mega-frame/s throughput bridge-function unit in a low-latency OLT LSI for the coexistence of 10G-EPON and GE-PON. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Deyun Cai, Haipeng Fu, Junyan Ren, Wei Li 0038, Ning Li 0007, Hao Yu 0001, Kiat Seng Yeo A 2.1-GHz PLL with -80dBc/-74dBc reference spur based on aperture-phase detector and phase-to-analog converter. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tianjia Sun, Xiang Xie, Guolin Li, Yingke Gu, Xiaomeng Li, Zhihua Wang 0001 An omnidirectional wireless power receiving IC with 93.6% efficiency CMOS rectifier and Skipping Booster for implantable bio-microsystems. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jonathan C. Leu, Vladimir Stojanovic Injection-locked clock receiver for monolithic optical link in 45nm SOI. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Navid Sarhangnejad, Rong Wu, Youngcheol Chae, Kofi A. A. Makinwa A continuous-time ΣΔ modulator with a Gm-C input stage, 120-dB CMRR and -87 dB THD. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Junyoung Park 0002, Joonsoo Kwon, Jinwook Oh, Seungjin Lee 0001, Hoi-Jun Yoo A 92mW real-time traffic sign recognition system with robust light and dark adaptation. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jun Furuta, Ryosuke Yamamoto, Kazutoshi Kobayashi, Hidetoshi Onodera Correlations between well potential and SEUs measured by well-potential perturbation detectors in 65nm. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shu-Wei Chu, Chorng-Kuang Wang An 85-GHz injection-locked frequency divider with current-reuse pre-amplifier technique. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yingchieh Ho, Yu-Sheng Yang, Chauchin Su A 0.2-0.6 V ring oscillator design using bootstrap technique. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hao-Ming Chao, Kuei-Ann Wen, Michiel Steyaert An active guarding technique for substrate noise suppression on LC-tank oscillators. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ajay Balankutty, Stefano Pellerano, Telesphor Kamgaing, Kranti Tantwai, Yorgos Palaskas A 12-element 60GHz CMOS phased array transmitter on LTCC package with integrated antennas. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shu-Yu Hsu, Yao-Lin Chen, Po-Yao Chang, Jui-Yuan Yu, Ten-Fang Yang, Ray-Jade Chen, Chen-Yi Lee A micropower biomedical signal processor for mobile healthcare applications. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hye-Jung Kwon, Jae-Seung Lee, Jae-Yoon Sim, Hong-June Park A high-gain wide-input-range time amplifier with an open-loop architecture and a gain equal to current bias ratio. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yimeng Zhang, Mengshu Huang, Nan Wang, Satoshi Goto, Tsutomu Yoshihara A 1pJ/cycle Processing Engine in LDPC application with charge recovery logic. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yasunobu Nakase, Shinichi Hirose, Toru Goda, Kehui Hu, Hiroshi Onoda, Yasuhiro Ido, Hiroyuki Kondo, Wei Kong, Wei Zhang, Tsukasa Oishi, Shintaro Mori, Toru Shimizu 0.8V start-up 92% efficiency on-chip boost DC-DC converters for battery operation micro-computers. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Morteza S. Alavi, Akshay Visweswaran, Robert Bogdan Staszewski, Leo C. N. de Vreede, John R. Long, Atef Akhnoukh A 2-GHz digital I/Q modulator in 65-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Amir Amirkhany, Wendemagegnehu T. Beyene, Chris J. Madden, Aliazam Abbasfar, Dave Secker, Dan Oh, Mohammad Hekmat, Ralf Schmitt, Chuck Yuan On overcoming the limitations of single-ended signaling for graphics memory interfaces. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yuya Hirano, Yasuhiro Sugimoto A MOS current-mode boost DC-DC converter with the duty-ratio-independent frequency characteristics. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jinwook Oh, Gyeonghoon Kim, Hoi-Jun Yoo An asynchronous mixed-mode neuro-fuzzy controller for energy efficient machine intelligence SoC. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chia-Ming Chang, Yu-Jung Chen, Yen-Chang Lu, Chun-Yi Lin, Liang-Gee Chen, Shao-Yi Chien A 172.6mW 43.8GFLOPS energy-efficient scalable eight-core 3D graphics processor for mobile multimedia applications. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tom Redant, Frederic Stubbe, Wim Dehaene A low power time-of-arrival ranging front end based on a 8-channel 2.2mW, 53ps single-shot-precision Time-to-Digital converter. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kuo-Hsin Chen, Yen-Shun Hsu A 106dB PSRR direct battery connected reconfigurable class-AB/D speaker amplifier for hands-free/receiver 2-in-1 loudspeaker. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ying-Zu Lin, Soon-Jyh Chang, Ya-Ting Shyu, Guan-Ying Huang, Chun-Cheng Liu A 0.9-V 11-bit 25-MS/s binary-search SAR ADC in 90-nm CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Atsushi Kawasumi, Toshikazu Suzuki, Shinichi Moriwaki, Shinji Miyano Energy efficiency degradation caused by random variation in low-voltage SRAM and 26% energy reduction by Bitline Amplitude Limiting (BAL) scheme. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chin-Lin Lee, Chih-Cheng Hsieh A 0.8V 64×64 CMOS imager with integrated sense-and-stimulus pixel for artificial retina applications. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nan Lin, Fei Fang, Zhiliang Hong, Hao Fang 0004 A CMOS broadband precise programmable gain amplifier with bandwidth extension technique. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jong-Chern Lee, Sin-Hyun Jin, Dae-Suk Kim, Young Jun Ku, Chul Kim, Byung-Kwon Park, Hong-Gyeom Kim, Seong-Jun Ahn, Jaejin Lee, Sung-Joo Hong A low-power small-area open loop digital DLL for 2.2Gb/s/pin 2Gb DDR3 SDRAM. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sanming Hu, Lei Wang, Yong-Zhong Xiong, Bo Zhang, Teck-Guan Lim A 434GHz SiGe BiCMOS transmitter with an on-chip SIW slot antenna. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Bei Yu, Chixiao Chen, Yu Zhu, Peng Zhang, Yiwen Zhang, Xiaoshi Zhu, Fan Ye 0001, Junyan Ren A 14-bit 200-MS/s time-interleaved ADC with sample-time error detection and cancelation. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ahmed Musa, Kenichi Okada, Akira Matsuzawa A 20GHz ILFD with locking range of 31% for divide-by-4 and 15% for divide-by-8 using progressive mixing. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Liang Feng, Yu Mao, Yuhua Cheng An efficient and stable power management circuit with high output energy for wireless powering capsule endoscopy. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jon Guerber, Manideep Gande, Hariprasath Venkatram, Allen Waters, Un-Ku Moon A 10b Ternary SAR ADC with decision time quantization based redundancy. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kosuke Isono, Tetsuya Hirose, Keishi Tsubaki, Nobutaka Kuroki, Masahiro Numa A 18.9-nA standby current comparator with adaptive bias current generator. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Frank Hsiao, Adrian Tang 0002, Derek Yang, Mike Pham, Mau-Chung Frank Chang A 7Gb/s SC-FDE/OFDM MMSE equalizer for 60GHz wireless communications. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kei-Tee Tiew, Minkyu Je A 0.06-mm2 double-sampling single-OTA 2nd-order ΔΣ modulator in 0.18-μm CMOS technology. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Chun-Sheng Huang, Chen-Yu Wang, Jia-Hui Wang, Chien-Hung Tsai A fast-transient quasi-V2 switching buck regulator using AOT control. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1013 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license