Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Yaroslav Sovyn, Volodymyr Khoma, Michal Podpora |
Comparison of Three CPU-Core Families for IoT Applications in Terms of Security and Performance of AES-GCM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Internet Things J. ![In: IEEE Internet Things J. 7(1), pp. 339-348, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Siva Nishok Dhanuskodi, Samuel Allen, Daniel E. Holcomb |
Efficient Register Renaming Architectures for 8-bit AES Datapath at 0.55 pJ/bit in 16-nm FinFET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 28(8), pp. 1807-1820, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Ashokkumar C., Bholanath Roy, M. Bhargav Sri Venkatesh, Bernard L. Menezes |
"S-Box" Implementation of AES Is Not Side Channel Resistant. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Hardw. Syst. Secur. ![In: J. Hardw. Syst. Secur. 4(2), pp. 86-97, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Aabha Neupane, Abeer Alsadoon, P. W. C. Prasad, Rasha Subhi Ali, Sami Haddad |
A novel Modified Chaotic Simplified Advanced Encryption System (MCS-AES): mixed reality for a secure surgical tele-presence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 79(39-40), pp. 29043-29067, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Felix Wegener, Lauren De Meyer, Amir Moradi 0001 |
Spin Me Right Round Rotational Symmetry for FPGA-Specific AES: Extended Version. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Cryptol. ![In: J. Cryptol. 33(3), pp. 1114-1155, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Achiya Bar-On, Orr Dunkelman, Nathan Keller, Eyal Ronen, Adi Shamir |
Improved Key Recovery Attacks on Reduced-Round AES with Practical Data and Memory Complexities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Cryptol. ![In: J. Cryptol. 33(3), pp. 1003-1043, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Manjith B. C., Ramasubramanian Natarajan |
Securing AES Accelerator from Key-Leaking Trojans on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Embed. Real Time Commun. Syst. ![In: Int. J. Embed. Real Time Commun. Syst. 11(3), pp. 84-105, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Karim Shahbazi, Seok-Bum Ko |
High throughput and area-efficient FPGA implementation of AES for high-traffic applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Comput. Digit. Tech. ![In: IET Comput. Digit. Tech. 14(6), pp. 344-352, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Cheng-Hsiung Yang, Yu-Sheng Chien |
FPGA Implementation and Design of a Hybrid Chaos-AES Color Image Encryption Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symmetry ![In: Symmetry 12(2), pp. 189, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | David Gérault, Pascal Lafourcade 0001, Marine Minier, Christine Solnon |
Computing AES related-key differential characteristics with constraint programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. ![In: Artif. Intell. 278, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Rei Ueno, Naofumi Homma, Sumio Morioka, Noriyuki Miura, Kohei Matsuda, Makoto Nagata, Shivam Bhasin, Yves Mathieu, Tarik Graba, Jean-Luc Danger |
High Throughput/Gate AES Hardware Architectures Based on Datapath Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 69(4), pp. 534-548, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Arash Reyhani-Masoleh, Mostafa M. I. Taha, Doaa Ashmawy |
New Low-Area Designs for the AES Forward, Inverse and Combined S-Boxes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 69(12), pp. 1757-1773, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Liandeng Li, Jiarui Fang, Jinlei Jiang, Lin Gan, Weijie Zheng 0001, Haohuan Fu, Guangwen Yang |
Efficient AES implementation on Sunway TaihuLight supercomputer: A systematic approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Parallel Distributed Comput. ![In: J. Parallel Distributed Comput. 138, pp. 178-189, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Lavanya R, Karpagam M |
Enhancing the security of AES through small scale confusion operations for data communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 75, pp. 103041, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Petr Socha, Jan Brejník, Josep Balasch, Martin Novotný, Nele Mentens |
Side-channel countermeasures utilizing dynamic logic reconfiguration: Protecting AES/Rijndael and Serpent encryption in hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 78, pp. 103208, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | S. Madhavapandian, P. Maruthupandi |
FPGA implementation of highly scalable AES algorithm using modified mix column with gate replacement technique for security application in TCP/IP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 73, pp. 102972, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Mohsen Jahanbani, Nasour Bagheri, Zeinolabedin Norouzi |
Lightweight implementation of SILC, CLOC, AES-JAMBU and COLM authenticated ciphers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 72, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | V. Nandan, R. Gowri Shankar Rao |
Minimization of digital logic gates and ultra-low power AES encryption core in 180CMOS technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 74, pp. 103000, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Sonali Chandel, Geng Yang, Sumit Chakravarty |
AES-CP-IDABE: A Privacy Protection Framework against a DoS Attack in the Cloud Environment with the Access Control Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. ![In: Inf. 11(8), pp. 372, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Xiongwei Fei, Kenli Li 0001, Wangdong Yang, Keqin Li 0001 |
Analysis of energy efficiency of a parallel AES algorithm for CPU-GPU heterogeneous platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Parallel Comput. ![In: Parallel Comput. 94-95, pp. 102621, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Noura Benhadjyoussef, Mouna Karmani, Mohsen Machhout, Belgacem Hamdi |
A Hybrid Countermeasure-Based Fault-Resistant AES Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Circuits Syst. Comput. ![In: J. Circuits Syst. Comput. 29(3), pp. 2050044:1-2050044:17, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Sameer Farooq, Priyanka Chawla |
A novel approach of asymmetric key generation in symmetric AES via ECDH. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Syst. Assur. Eng. Manag. ![In: Int. J. Syst. Assur. Eng. Manag. 11(5), pp. 962-971, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | El-Habib Bensikaddour, Youcef Bentoutou |
Satellite Image Encryption Based on AES and Discretised Chaotic Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. Control. Comput. Sci. ![In: Autom. Control. Comput. Sci. 54(5), pp. 446-455, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | James Huang, Xiaoming Li |
Cache-collision side-channel analysis and attacks against AES-GCM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Big Data Intell. ![In: Int. J. Big Data Intell. 7(4), pp. 211-217, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | N. L. Venkataraman, Rajagopal Kumar 0001 |
An efficient NoC router design by using an enhanced AES with retiming and clock gating techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Emerg. Telecommun. Technol. ![In: Trans. Emerg. Telecommun. Technol. 31(12), 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Markku-Juhani O. Saarinen |
A Lightweight ISA Extension for AES and SM4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2002.07041, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Arnab Kumar Mondal, Himanshu Asnani, Parag Singla, Prathosh AP |
To Regularize or Not To Regularize? The Bias Variance Trade-off in Regularized AEs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2006.05838, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Mahdi Taheri, Saeide Sheikhpour, Mohammad Saeed Ansari, Ali Mahani 0001 |
DMR-based Technique for Fault Tolerant AES S-box Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2009.05329, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Ayush Jain 0002, Ujjwal Guin |
A Novel Tampering Attack on AES Cores with Hardware Trojans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2008.03290, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Sahil Gangurde, Krishnakant Tiwari |
LSB Steganography Using Pixel Locator Sequence with AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2012.02494, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Jinxin Zhao, Pinxin Long, Liyang Wang, Lingfeng Qian, Feixiang Lu, Xibin Song, Dinesh Manocha, Liangjun Zhang |
AES: Autonomous Excavator System for Real-World and Hazardous Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2011.04848, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | JongHyeok Lee, Dong-Guk Han |
Security analysis on dummy based side-channel countermeasures - Case study: AES with dummy and shuffling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Soft Comput. ![In: Appl. Soft Comput. 93, pp. 106352, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Mustafa Emad Hameed, Masrullizam Mat Ibrahim, Nurulfajar Abd Manap, Ali A. Mohammed |
A lossless compression and encryption mechanism for remote monitoring of ECG data using Huffman coding and CBC-AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Gener. Comput. Syst. ![In: Future Gener. Comput. Syst. 111, pp. 829-840, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Sanghun Choi, Shuichiro Haruta, Yichen An, Iwao Sasase |
A Server-Based Distributed Storage Using Secret Sharing with AES-256 for Lightweight Safety Restoration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 103-D(7), pp. 1647-1659, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Ijaz Ahmad Awan, Muhammad Shiraz, Muhammad Usman Hashmi, Qaisar Shaheen, Rizwan Akhtar, Allah Ditta |
Secure Framework Enhancing AES Algorithm in Cloud Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Secur. Commun. Networks ![In: Secur. Commun. Networks 2020, pp. 8863345:1-8863345:16, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Pascal Sasdrich, Begül Bilgin, Michael Hutter, Mark E. Marson |
Low-Latency Hardware Masking with Application to AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Trans. Cryptogr. Hardw. Embed. Syst. ![In: IACR Trans. Cryptogr. Hardw. Embed. Syst. 2020(2), pp. 300-326, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Leah Lathrop |
Differential Power Analysis Attacks on Different Implementations of AES with the ChipWhisperer Nano. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1008, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Ben Marshall, G. Richard Newell, Dan Page, Markku-Juhani O. Saarinen, Claire Wolf |
The design of scalar AES Instruction Set Extensions for RISC-V. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 930, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Doyoung Chung, Jooyoung Lee 0001, Seungkwang Lee, Dooho Choi |
Towards Optimizing Quantum Implementation of AES S-box. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 941, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Loïc Masure, Nicolas Belleville, Eleonora Cagli, Marie-Angela Cornelie, Damien Couroussé, Cécile Dumas, Laurent Maingault |
Deep Learning Side-Channel Analysis on Large-Scale Traces - A Case Study on a Polymorphic AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 881, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Ruize Wang, Huanyu Wang, Elena Dubrova |
Far Field EM Side-Channel Attack on AES Using Deep Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1096, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Gaëtan Leurent, Clara Pernot |
New Representations of the AES Key Schedule. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1253, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Abderrahmane Nitaj, Willy Susilo, Joseph Tonien |
A New Improved AES S-box With Enhanced Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1597, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Milad Seddigh, Hadi Soleimany |
Enhanced Flush+Reload Attack on AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 907, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Xin An, Kai Hu 0001, Meiqin Wang |
MixColumns Coefficient Property and Security of the AES with A Secret S-Box. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 546, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Xiaoyang Dong, Siwei Sun, Danping Shi, Fei Gao, Xiaoyun Wang 0001, Lei Hu |
Quantum Collision Attacks on AES-like Hashing with Low Quantum Random Access Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1030, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Fatih Balli, Andrea Caforio, Subhadeep Banik |
Low-latency Meets Low-area: An Improved Bit-Sliding Technique for AES, SKINNY and GIFT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 608, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Huanyu Wang, Elena Dubrova |
Tandem Deep Learning Side-Channel Attack Against FPGA Implementation of AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 373, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Patrick Longa, Wen Wang 0007, Jakub Szefer |
The Cost to Break SIKE: A Comparative Hardware-Based Analysis with AES and SHA-3. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1457, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Mahdi Esfahani, Hadi Soleimany, Mohammad Reza Aref |
Modified Cache Template Attack on AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 1560, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Pascal Sasdrich, Begül Bilgin, Michael Hutter, Mark E. Marson |
Low-Latency Hardware Masking with Application to AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 51, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Jannis Bossert, Eik List, Stefan Lucks, Sebastian Schmitz |
Pholkos - Efficient Large-state Tweakable Block Ciphers from the AES Round Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 275, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Zhenzhen Bao, Xiaoyang Dong, Jian Guo 0001, Zheng Li 0008, Danping Shi, Siwei Sun, Xiaoyun Wang 0001 |
Automatic Search of Meet-in-the-Middle Preimage Attacks on AES-like Hashing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 467, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Santosh Ghosh, Luis S. Kida, Soham Jayesh Desai, Reshma Lal |
A >100 Gbps Inline AES-GCM Hardware Engine and Protected DMA Transfers between SGX Enclave and FPGA Accelerator Device. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 178, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Aron Gohr, Sven Jacob, Werner Schindler |
Efficient Solutions of the CHES 2018 AES Challenge Using Deep Residual Neural Networks and Knowledge Distillation on Adversarial Examples. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Cryptol. ePrint Arch. ![In: IACR Cryptol. ePrint Arch. 2020, pp. 165, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Yiwen Gao 0001, Yongbin Zhou, Wei Cheng 0003 |
Efficient electro-magnetic analysis of a GPU bitsliced AES implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cybersecur. ![In: Cybersecur. 3(1), pp. 3, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Amal Hafsa, Anissa Sghaier, Medien Zeghid, Jihene Malek, Mohsen Machhout |
An improved co-designed AES-ECC cryptosystem for secure data transmission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Inf. Comput. Secur. ![In: Int. J. Inf. Comput. Secur. 13(1), pp. 118-140, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Dur-e-Shahwar Kundi, Ayesha Khalid, Arshad Aziz, Chenghua Wang, Máire O'Neill, Weiqiang Liu 0001 |
Resource-Shared Crypto-Coprocessor of AES Enc/Dec With SHA-3. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. ![In: IEEE Trans. Circuits Syst. 67-I(12), pp. 4869-4882, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Shotaro Sawataishi, Rei Ueno, Naofumi Homma |
Unified Hardware for High-Throughput AES-Based Authenticated Encryptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 67-II(9), pp. 1604-1608, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Massoud Masoumi |
Novel Hybrid CMOS/Memristor Implementation of the AES Algorithm Robust Against Differential Power Analysis Attack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. II Express Briefs ![In: IEEE Trans. Circuits Syst. II Express Briefs 67-II(7), pp. 1314-1318, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Xiaoqiang Zhang 0001, Xinggan Zhang, Lan Tang, Xinxing Zheng, Tianming Ni, Ning Wu |
A low critical path delay structure for composite field AES S-box based on constant matrices multiplication merging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 17(7), pp. 20200035, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Xiaoqiang Zhang 0001, Fan Yang, Xinxing Zheng, Xinggan Zhang, Ning Wu |
A full matrix joint optimization method for hardware implementation of AES MixColumns/InvMixColumns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 17(24), pp. 20200391, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Zhenzhen Bao, Jian Guo 0001, Eik List |
Extended Truncated-differential Distinguishers on Round-reduced AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IACR Trans. Symmetric Cryptol. ![In: IACR Trans. Symmetric Cryptol. 2020(3), pp. 197-261, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Joan Daemen, Vincent Rijmen |
The Design of Rijndael - The Advanced Encryption Standard (AES), Second Edition ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2020 |
DOI RDF |
|
12 | Aye Aye Thinn, Mie Mie Su Thwin |
A Hybrid Solution for Confidential Data Transfer Using PKI, Modified AES Algorithm and Image as a Secret Key. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCA ![In: IEEE Conference on Computer Applications, ICCA 2020, Yangon, Myanmar, February 27-28, 2020, pp. 1-4, 2020, IEEE, 978-1-7281-5925-6. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Ruize Wang, Huanyu Wang, Elena Dubrova |
Far Field EM Side-Channel Attack on AES Using Deep Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASHES@CCS ![In: Proceedings of the 4th ACM Workshop on Attacks and Solutions in Hardware Security Workshop, ASHES@CCS 2020, Virtual Event, USA, November 13, 2020, pp. 35-44, 2020, ACM, 978-1-4503-8090-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Chunhui Duan, Lin Tan 0003, Wenfeng Qi 0001 |
Remarks on Mixture and Yoyo Distinguishers for Reduced-Round AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCS ![In: 5th International Conference on Computer and Communication Systems, ICCCS 2020, Shanghai, China, May 15-18, 2020, pp. 807-815, 2020, IEEE, 978-1-7281-6136-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Goh Yie Yen, Siti Zarina Md. Naziri, Rizalafande Che Ismail, Mohd Nazrin Md. Isa, Razaidi Hussin |
Design of Multiplicative Inverse Value Generator using Logarithm Method for AES Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICM ![In: 32nd International Conference on Microelectronics, ICM 2020, Aqaba, Jordan, December 14-17, 2020, pp. 1-5, 2020, IEEE, 978-1-7281-9664-0. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Lorenzo Grassi 0001, Gregor Leander, Christian Rechberger, Cihangir Tezcan, Friedrich Wiemer |
Weak-Key Distinguishers for AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Selected Areas in Cryptography - SAC 2020 - 27th International Conference, Halifax, NS, Canada (Virtual Event), October 21-23, 2020, Revised Selected Papers, pp. 141-170, 2020, Springer, 978-3-030-81651-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | James H. Davenport, Benjamin Pring |
Improvements to Quantum Search Techniques for Block-Ciphers, with Applications to AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Selected Areas in Cryptography - SAC 2020 - 27th International Conference, Halifax, NS, Canada (Virtual Event), October 21-23, 2020, Revised Selected Papers, pp. 360-384, 2020, Springer, 978-3-030-81651-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Alessandro Amadori, Wil Michiels, Peter Roelse |
Automating the BGE Attack on White-Box Implementations of AES with External Encodings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCE-Berlin ![In: 10th IEEE International Conference on Consumer Electronics, ICCE-Berlin 2020, Berlin, Germany, November 9-11, 2020, pp. 1-6, 2020, IEEE, 978-1-7281-5885-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Elza Jintcharadze, Maksim Iavich |
Hybrid Implementation of Twofish, AES, ElGamal and RSA Cryptosystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EWDTS ![In: IEEE East-West Design & Test Symposium, EWDTS 2020, Varna, Bulgaria, September 4-7, 2020, pp. 1-5, 2020, IEEE, 978-1-7281-9899-6. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Jakub Klemsa, Martin Novotný |
Exploiting Linearity in White-Box AES with Differential Computation Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAI (3) ![In: Intelligent Computing - Proceedings of the 2020 Computing Conference, Volume 3, pp. 404-419, 2020, Springer, 978-3-030-52242-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Mohamed A. Wahby Shalaby, Marwa T. Saleh, Hesham N. Elmahdy |
Enhanced Arnold's Cat Map-AES Encryption Technique for Medical Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NILES ![In: 2nd Novel Intelligent and Leading Emerging Sciences Conference, NILES 2020, Giza, Egypt, October 24-26, 2020, pp. 288-295, 2020, IEEE, 978-1-7281-8226-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Yomna Moussa, Wassim Alexan |
Message Security Through AES and LSB Embedding in Edge Detected Pixels of 3D Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NILES ![In: 2nd Novel Intelligent and Leading Emerging Sciences Conference, NILES 2020, Giza, Egypt, October 24-26, 2020, pp. 224-229, 2020, IEEE, 978-1-7281-8226-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Amir Alipour, Athanasios Papadimitriou, Vincent Beroulle, Ehsan Aerabi, David Hély |
On the Performance of Non-Profiled Differential Deep Learning Attacks against an AES Encryption Algorithm Protected using a Correlated Noise Generation based Hiding Countermeasure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2020 Design, Automation & Test in Europe Conference & Exhibition, DATE 2020, Grenoble, France, March 9-13, 2020, pp. 614-617, 2020, IEEE, 978-3-9819263-4-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Assaad El Makhloufi, Noha Tagmouti, Nisrine Chekroun, Samir El Adib, José A. Sobrino, Naoufal Raissouni |
AES/FPGA Encryption Module Integration for Satellite Remote Sensing Systems: LST-SW case. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CommNet ![In: 3rd International Conference on Advanced Communication Technologies and Networking, CommNet 2020, Marrakech, Morocco, September 4-6, 2020, pp. 1-7, 2020, IEEE, 978-1-7281-8704-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Dongliang Xiao, Zhenzhen Gu, Chao Yang, Na Sun |
Data Transmission Scheme Based on AES and Polar Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCMC ![In: 16th International Wireless Communications and Mobile Computing Conference, IWCMC 2020, Limassol, Cyprus, June 15-19, 2020, pp. 172-177, 2020, IEEE, 978-1-7281-3129-0. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Xian Liu |
When Mini-AES Meets Machine Learning: Practice and Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSE ![In: IEEE International Symposium on Systems Engineering, ISSE 2020, Vienna, Austria, October 12 - November 12, 2020, pp. 1-5, 2020, IEEE, 978-1-7281-8602-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Ambili Thomas, V. Lakshmi Narasimhan |
Modelling CPU Execution Time of AES Encryption Algorithm as Employed Over a Mobile Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FICTA (2) ![In: Intelligent Data Engineering and Analytics - Frontiers in Intelligent Computing: Theory and Applications (FICTA 2020), Volume 2, Karnataka, Surathkal, India, January 4-5, 2020., pp. 207-219, 2020, Springer, 978-981-15-5678-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | João Carlos Resende, Ricardo J. R. Maçãs, Ricardo Chaves |
Mask Scrambling Against SCA on Reconfigurable TBOX-Based AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: 30th International Conference on Field-Programmable Logic and Applications, FPL 2020, Gothenburg, Sweden, August 31 - September 4, 2020, pp. 243-248, 2020, IEEE, 978-1-7281-9902-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Xiaoqi Chen |
Implementing AES Encryption on Programmable Switches via Scrambled Lookup Tables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN@SIGCOMM ![In: Proceedings of the 2020 ACM SIGCOMM 2020 Workshop on Secure Programmable Network Infrastructure, SPIN@SIGCOMM 2020, Virtual Event, USA, August 14, 2020, pp. 8-14, 2020, ACM, 978-1-4503-8041-6. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Liang Han, Ning Wu, Fen Ge, Fang Zhou 0001, Jin Wen, Peiyao Qing |
Differential Fault Attack for the Iterative Operation of AES-192 Key Expansion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCT ![In: 20th IEEE International Conference on Communication Technology, ICCT 2020, Nanning, China, October 28-31, 2020, pp. 1156-1160, 2020, IEEE, 978-1-7281-8141-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Jai Gopal Pandey, Sanskriti Gupta, Abhijit Karmakar |
A Unified Architecture for AES/PRESENT Ciphers and its Usage in an SoC Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LASCAS ![In: 11th IEEE Latin American Symposium on Circuits & Systems, LASCAS 2020, San Jose, Costa Rica, February 25-28, 2020, pp. 1-4, 2020, IEEE, 978-1-7281-3427-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Muhammad Mahavira Prawira P, Rizki Kurniandi, Amiruddin Amiruddin |
Secure SMS Using Pseudo-Random Bit Generator Based on Chaotic Map, and AES on Arduino UNO Board and SIM 900 Module. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWBIS ![In: International Workshop on Big Data and Information Security, IWBIS 2020, Depok, Indonesia, October 17-18, 2020, pp. 91-96, 2020, IEEE, 978-1-7281-9098-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Eslam G. AbdAllah, Yu Rang Kuang, Changcheng Huang |
Advanced Encryption Standard New Instructions (AES-NI) Analysis: Security, Performance, and Power Consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAE ![In: ICCAE 2020: 12th International Conference on Computer and Automation Engineering, Sydney, NSW, Australia, February 14-16, 2020, pp. 167-172, 2020, ACM, 978-1-4503-7678-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Xiaoyang Dong, Siwei Sun, Danping Shi, Fei Gao, Xiaoyun Wang 0001, Lei Hu |
Quantum Collision Attacks on AES-Like Hashing with Low Quantum Random Access Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIACRYPT (2) ![In: Advances in Cryptology - ASIACRYPT 2020 - 26th International Conference on the Theory and Application of Cryptology and Information Security, Daejeon, South Korea, December 7-11, 2020, Proceedings, Part II, pp. 727-757, 2020, Springer, 978-3-030-64833-6. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Jian Zou, Zihao Wei, Siwei Sun, Ximeng Liu, Wenling Wu |
Quantum Circuit Implementations of AES with Fewer Qubits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIACRYPT (2) ![In: Advances in Cryptology - ASIACRYPT 2020 - 26th International Conference on the Theory and Application of Cryptology and Information Security, Daejeon, South Korea, December 7-11, 2020, Proceedings, Part II, pp. 697-726, 2020, Springer, 978-3-030-64833-6. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Augusto C. Ferreira, Natassya B. F. Silva |
Comparison of secure communication with AES between embedded system and general purpose computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: IEEE Symposium on Computers and Communications, ISCC 2020, Rennes, France, July 7-10, 2020, pp. 1-6, 2020, IEEE, 978-1-7281-8086-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Serhii Toliupa, Volodymyr Nakonechnyi, Maxym Kotov, Valeriia Solodovnyk |
RF Signals Encryption with AES in WDID. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IT&I Workshops ![In: Proceedings of the 7th International Conference `Information Technology and Interactions` (IT&I-2020). Workshops Proceedings, Kyiv, Ukraine, December 02-03, 2020., pp. 96-105, 2020, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
12 | Noura Benhadjyoussef, Mouna Karmani, Mohsen Machhout |
The Secured AES designs against Fault Injection Attacks: A comparative Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ATSIP ![In: 5th International Conference on Advanced Technologies for Signal and Image Processing, ATSIP 2020, Sousse, Tunisia, September 2-5, 2020, pp. 1-4, 2020, IEEE, 978-1-7281-7513-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Debayan Das, Josef Danial, Anupam Golder, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen |
Deep Learning Side-Channel Attack Resilient AES-256 using Current Domain Signature Attenuation in 65nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: 2020 IEEE Custom Integrated Circuits Conference, CICC 2020, Boston, MA, USA, March 22-25, 2020, pp. 1-4, 2020, IEEE, 978-1-7281-6031-3. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Abderrahmane Nitaj, Willy Susilo, Joseph Tonien |
A New Improved AES S-box with Enhanced Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACISP ![In: Information Security and Privacy - 25th Australasian Conference, ACISP 2020, Perth, WA, Australia, November 30 - December 2, 2020, Proceedings, pp. 125-141, 2020, Springer, 978-3-030-55303-6. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Samuel Jaques, Michael Naehrig, Martin Roetteler, Fernando Virdia |
Implementing Grover Oracles for Quantum Key Search on AES and LowMC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCRYPT (2) ![In: Advances in Cryptology - EUROCRYPT 2020 - 39th Annual International Conference on the Theory and Applications of Cryptographic Techniques, Zagreb, Croatia, May 10-14, 2020, Proceedings, Part II, pp. 280-310, 2020, Springer, 978-3-030-45723-5. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Yogendra Sao, K. K. Soundra Pandian, Sk Subidh Ali |
Revisiting the security of static masking and compaction: Discovering new vulnerability and Improved Scan Attack on AES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AsianHOST ![In: Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2020, Kolkata, India, December 15-17, 2020, pp. 1-6, 2020, IEEE, 978-1-7281-8952-9. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | YoungBeom Kim, Seog Chung Seo |
An Efficient Implementation of AES on 8-Bit AVR-Based Sensor Nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISA ![In: Information Security Applications - 21st International Conference, WISA 2020, Jeju Island, South Korea, August 26-28, 2020, Revised Selected Papers, pp. 276-290, 2020, Springer, 978-3-030-65298-2. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Debayan Das, Josef Danial, Anupam Golder, Nirmoy Modak, Shovan Maity, Baibhab Chatterjee, Dong-Hyun Seo, Muya Chang, Avinash Varna 0001, Harish Krishnamurthy, Sanu Mathew, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen |
27.3 EM and Power SCA-Resilient AES-256 in 65nm CMOS Through >350× Current-Domain Signature Attenuation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2020 IEEE International Solid- State Circuits Conference, ISSCC 2020, San Francisco, CA, USA, February 16-20, 2020, pp. 424-426, 2020, IEEE, 978-1-7281-3205-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Rupam Mondal, Hau T. Ngo, James Shey, Ryan N. Rakvic, T. Owens Walker, Dane Brown |
Efficient architecture design for the AES-128 algorithm on embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CF ![In: Proceedings of the 17th ACM International Conference on Computing Frontiers, CF 2020, Catania, Sicily, Italy, May 11-13, 2020, pp. 89-97, 2020, ACM, 978-1-4503-7956-4. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Raghavan Kumar, Xiaosen Liu, Vikram B. Suresh, Harish Krishnamurthy, Mark A. Anders 0001, Himanshu Kaul, Krishnan Ravichandran, Vivek De, Sanu Mathew |
A SCA-Resistant AES Engine in 14nm CMOS with Time/Frequency-Domain Leakage Suppression using Non-Linear Digital LDO Cascaded with Arithmetic Countermeasures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Circuits ![In: IEEE Symposium on VLSI Circuits, VLSI Circuits 2020, Honolulu, HI, USA, June 16-19, 2020, pp. 1-2, 2020, IEEE, 978-1-7281-9942-9. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
12 | Jun-Sheng Ng, Juncheng Chen, Nay Aung Kyaw, Ne Kyaw Zwa Lwin, Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee |
A Highly Efficient Power Model for Correlation Power Analysis (CPA) of Pipelined Advanced Encryption Standard (AES). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: IEEE International Symposium on Circuits and Systems, ISCAS 2020, Sevilla, Spain, October 10-21, 2020, pp. 1-5, 2020, IEEE, 978-1-7281-3320-1. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|