The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1992 (15) 1993-1994 (30) 1995 (15) 1996 (21) 1997 (33) 1998 (31) 1999 (53) 2000 (55) 2001 (52) 2002 (45) 2003 (50) 2004 (35) 2005 (50) 2006 (56) 2007 (58) 2008 (66) 2009 (75) 2010 (58) 2011 (61) 2012 (77) 2013 (68) 2014 (94) 2015 (119) 2016 (124) 2017 (131) 2018 (126) 2019 (136) 2020 (96) 2021 (123) 2022 (122) 2023 (134) 2024 (37)
Publication types (Num. hits)
article(827) book(1) data(1) inproceedings(1400) phdthesis(17)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 700 occurrences of 376 keywords

Results
Found 2246 publication records. Showing 2246 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Jong Beom Park, William Rhett Davis, Paul D. Franzon 3-D-DATE: A Circuit-Level Three-Dimensional DRAM Area, Timing, and Energy Model. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Goran HamaAli, Diary R. Sulaiman, Muhammed A. Ibrahim Power and thermal management in SRAM and DRAM using adaptive body biasing technique. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13André Schaller, Wenjie Xiong 0001, Nikolaos Athanasios Anagnostopoulos, Muhammad Umair Saleem, Sebastian Gabmeyer, Boris Skoric, Stefan Katzenbeisser 0001, Jakub Szefer Decay-Based DRAM PUFs in Commodity Devices. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Jinyu Zhan, Junhuan Yang, Wei Jiang 0016, Yufang Sun, Yixin Li Vehicle data management with specific wear-levelling and fault tolerance for hybrid DRAM-NVM memory. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sheel Sindhu Manohar, Hemangee K. Kapoor Dynamic reconfiguration of embedded-DRAM caches employing zero data detection based refresh optimisation. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xing Pan, Frank Mueller 0001 The Colored Refresh Server for DRAM. Search on Bibsonomy ISORC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Minesh Patel, Jeremie S. Kim, Hasan Hassan, Onur Mutlu Understanding and Modeling On-Die Error Correction in Modern DRAM: An Experimental Study Using Real Devices. Search on Bibsonomy DSN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Haonan Wang, Adwait Jog Exploiting Latency and Error Tolerance of GPGPU Applications for an Energy-Efficient DRAM. Search on Bibsonomy DSN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Chirag Sudarshan, Jan Lappas, Christian Weis, Deepak M. Mathew, Matthias Jung 0001, Norbert Wehn A Lean, Low Power, Low Latency DRAM Memory Controller for Transprecision Computing. Search on Bibsonomy SAMOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sherif M. Sharroush A Novel Current-Domain DRAM Readout Scheme. Search on Bibsonomy ICM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Mohamed Hassan 0002 Managing DRAM Interference in Mixed Criticality Embedded Systems. Search on Bibsonomy ICM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13David Biancolin, Sagar Karandikar, Donggyu Kim, Jack Koenig, Andrew Waterman, Jonathan Bachrach, Krste Asanovic FASED: FPGA-Accelerated Simulation and Evaluation of DRAM. Search on Bibsonomy FPGA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Zhiyuan Shao, Ruoshi Li, Diqing Hu, Xiaofei Liao, Hai Jin 0001 Improving Performance of Graph Processing on FPGA-DRAM Platform by Two-level Vertex Caching. Search on Bibsonomy FPGA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sheel Sindhu Manohar, Hemangee K. Kapoor Refresh optimised embedded-dram caches based on zero data detection. Search on Bibsonomy SAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Nam-Hyun Lee, Jongkyun Kim, Donghee Son, Kangjun Kim, Jung Eun Seok Comprehensive Study for OFF-State Hot Carrier Degrdation of Scaled nMOSFETs in DRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13KyungWoo Lee, Chae-Hyuk Yun, HyungAh Seo, Taehun Kang, Yunsung Lee, Kangyong Cho An Evaluation of X-Ray Irradiation Induced Dynamic Refresh Characterization in DRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sheng Ma, Yang Guo 0003, Shenggang Chen, Libo Huang, Zhiying Wang 0003 Improving the DRAM Access Efficiency for Matrix Multiplication on Multicore Accelerators. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Wenjie Xiong 0001, Nikolaos Athanasios Anagnostopoulos, André Schaller, Stefan Katzenbeisser 0001, Jakub Szefer Spying on Temperature using DRAM. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Skanda Koppula, Lois Orosa 0001, Abdullah Giray Yaglikçi, Roknoddin Azizi, Taha Shahroodi, Konstantinos Kanellopoulos, Onur Mutlu EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Wei-Hsuan Yang, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun, Shih-Hsu Huang A Built-in Self-Test Scheme for TSVs of Logic-DRAM Stacked 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Jeremie S. Kim, Minesh Patel, Hasan Hassan, Lois Orosa 0001, Onur Mutlu D-RaNGe: Using Commodity DRAM Devices to Generate True Random Numbers with Low Latency and High Throughput. Search on Bibsonomy HPCA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Tomohiro Korikawa, Akio Kawabata, Fujun He, Eiji Oki Packet Processing Architecture With Off-Chip LLC Using Interleaved 3D-Stacked DRAM. Search on Bibsonomy HPSR The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Reza Salkhordeh, André Brinkmann Online Management of Hybrid DRAM-NVMM Memory for HPC. Search on Bibsonomy HiPC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Bo Wang, Jie Tang 0003, Rui Zhang, Wei Ding, Shaoshan Liu, Deyu Qi 0001 Energy-Efficient Data Caching Framework for Spark in Hybrid DRAM/NVM Memory Architectures. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Rakesh Pandey, Aryabartta Sahu Access-Aware Self-Adaptive Data Mapping onto 3D-Stacked Hybrid DRAM-PCM Based Chip-Multiprocessor. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Ruicheng Liu, Peiquan Jin, Zhangling Wu, Xiaoliang Wang, Shouhong Wan, Bei Hua Efficient Wear Leveling for PCM/DRAM-Based Hybrid Memory. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Haiyang Pan, Yuhang Liu 0001, Tianyue Lu, Mingyu Chen 0001 Characterizations and Architectural Implications of NVM's External DRAM Cache. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Anirban Chakraborty 0003, Manaar Alam, Debdeep Mukhopadhyay Deep Learning Based Diagnostics for Rowhammer Protection of DRAM Chips. Search on Bibsonomy ATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shuqi Yu, Linmei Hu, Bin Wu 0001 DRAM: A Deep Reinforced Intra-attentive Model for Event Prediction. Search on Bibsonomy KSEM (1) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Jongbok Lee DRAM Effects on the Embedded Processor Performance. Search on Bibsonomy ICEIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Mikhail Asiatici, Paolo Ienne DynaBurst: Dynamically Assemblying DRAM Bursts over a Multitude of Random Accesses. Search on Bibsonomy FPL The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Saugata Ghose, Tianshi Li 0001, Nastaran Hajinazar, Damla Senol Cali, Onur Mutlu Demystifying Complex Workload-DRAM Interactions: An Experimental Study. Search on Bibsonomy SIGMETRICS (Abstracts) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Tobi Delbrück, Shih-Chii Liu Data-Driven Neuromorphic DRAM-based CNN and RNN Accelerators. Search on Bibsonomy ACSSC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Mikhail Zarubin, Patrick Damme, Thomas Kissinger, Dirk Habich, Wolfgang Lehner, Thomas Willhalm Integer Compression in NVRAM-centric Data Stores: Comparative Experimental Analysis to DRAM. Search on Bibsonomy DaMoN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Georgios Psaropoulos, Ismail Oukid, Thomas Legler, Norman May, Anastasia Ailamaki Bridging the Latency Gap between NVM and DRAM for Latency-bound Operations. Search on Bibsonomy DaMoN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Wen Pan, Tao Xie 0004, Xiaojia Song HART: A Concurrent Hash-Assisted Radix Tree for DRAM-PM Hybrid Memory Systems. Search on Bibsonomy IPDPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Matthias Jung 0001, Kira Kraft, Taha Soliman, Chirag Sudarshan, Christian Weis, Norbert Wehn Fast validation of DRAM protocols with timed petri nets. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Onkar Patil, Latchesar Ionkov, Jason Lee, Frank Mueller 0001, Michael Lang 0003 Performance characterization of a DRAM-NVM hybrid memory architecture for HPC applications using intel optane DC persistent memory modules. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Darko Zivanovic, Pouya Esmaili-Dokht, Sergi Moré, Javier Bartolome, Paul M. Carpenter, Petar Radojkovic, Eduard Ayguadé DRAM errors in the field: a statistical approach. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shang Li 0001, Rommel Sánchez Verdejo, Petar Radojkovic, Bruce L. Jacob Rethinking cycle accurate DRAM simulation. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Muhammad M. Rafique, Zhichun Zhu FAPS-3D: feedback-directed adaptive page management scheme for 3D-stacked DRAM. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shang Li 0001, Bruce L. Jacob Statistical DRAM modeling. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sebastian Werner 0002, Pouya Fotouhi, Xian Xiao, Marjan Fariborz, S. J. Ben Yoo, George Michelogiannakis, Dilip P. Vasudevan 3D photonics as enabling technology for deep 3D DRAM stacking. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Anup Sarma, Huaipan Jiang, Ashutosh Pattnaik, Jagadish Kotra, Mahmut Taylan Kandemir, Chita R. Das CASH: compiler assisted hardware design for improving DRAM energy efficiency in CNN inference. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Giulia Stazi, Antonio Mastrandrea, Mauro Olivieri, Francesco Menichelli Quality Aware Selective ECC for Approximate DRAM. Search on Bibsonomy ApplePies The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Cheng-Hsuan Cheng, Ren-Shuo Liu AIP: Saving the DRAM Access Energy of CNNs Using Approximate Inner Products. Search on Bibsonomy AICAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sven Müelich, Sebastian Bitzer, Chirag Sudarshan, Christian Weis, Norbert Wehn, Martin Bossert, Robert F. H. Fischer Channel Models for Physical Unclonable Functions based on DRAM Retention Measurements. Search on Bibsonomy REDUNDANCY The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Se Kwon Lee, Jayashree Mohan, Sanidhya Kashyap, Taesoo Kim, Vijay Chidambaram Recipe: converting concurrent DRAM indexes to persistent-memory indexes. Search on Bibsonomy SOSP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Hyein Shin, Jaehyeong Sim, Daewoong Lee, Lee-Sup Kim A PVT-robust Customized 4T Embedded DRAM Cell Array for Accelerating Binary Neural Networks. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shaahin Angizi, Deliang Fan ReDRAM: A Reconfigurable Processing-in-DRAM Platform for Accelerating Bulk Bit-Wise Operations. Search on Bibsonomy ICCAD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Thales Bandiera Paiva, Javier Navaridas, Routo Terada Robust Covert Channels Based on DRAM Power Consumption. Search on Bibsonomy ISC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xing Pan, Frank Mueller 0001 Hiding DRAM Refresh Overhead in Real-Time Cyclic Executives. Search on Bibsonomy RTSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xing Pan, Frank Mueller 0001 The Colored Refresh Server for DRAM. Search on Bibsonomy RTSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Seong Ju Lee, Byung Deuk Jeon, Kyeong Pil Kang, Dong Yoon Ka, Na Yeon Kim, Yongseop Kim, Yunseok Hong, Mankeun Kang, Jinyong Min, Mingyu Lee, Chunseok Jeong, Kwandong Kim, Doobock Lee, Junghyun Shin, Yuntack Han, Youngbo Shim, Youngjoo Kim, Yongsun Kim, Hyunseok Kim, Jaewoong Yun, Byungsoo Kim, Seokhwan Han, Changwoo Lee, Junyong Song, Ho Uk Song, Il Park 0001, Yongju Kim, Junhyun Chun, Jonghoon Oh A 512GB 1.1V Managed DRAM Solution with 16GB ODP and Media Controller. Search on Bibsonomy ISSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Taegeun Yoo, Hyunjoon Kim, Qian Chen 0027, Tony Tae-Hyoung Kim, Bongjin Kim A Logic Compatible 4T Dual Embedded DRAM Array for In-Memory Computation of Deep Neural Networks. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Wang Xu, Israel Koren Designing a secure DRAM+NVM hybrid memory module. Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Chun Shiah, C. N. Chang, Richard Crisp, C. P. Lin, C. N. Pan, C. P. Chuang, H. L. Chen, S. H. Jheng, T. F. Chang, W. J. Huang, K. C. Ting, Rick Dai, W. M. Huang, Bor-Doou Rong, Nicky Lu A 4.8GB/s 256Mb(x16) Reduced-Pin-Count DRAM and Controller Architecture (RPCA) to Reduce Form-Factor & Cost for IOT/Wearable/TCON/Video/AI-Edge Systems. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shuai Chen, Wenjie Xiong 0001, Yehan Xu, Bing Li, Jakub Szefer Thermal Covert Channels Leveraging Package-on-Package DRAM. Search on Bibsonomy TrustCom/BigDataSE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yicheng Wang, Yang Liu 0114, Peiyun Wu, Zhao Zhang 0008 Reinforce Memory Error Protection by Breaking DRAM Disturbance Correlation Within ECC Words. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Debiprasanna Sahoo, Shivani Tripathy, Manoranjan Satpathy, Madhu Mutyam Post-Model Validation of Victim DRAM Caches. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Vinson Young, Moinuddin K. Qureshi To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement Policies for DRAM Caches. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Vinson Young, Zeshan A. Chishti, Moinuddin K. Qureshi TicToc: Enabling Bandwidth-Efficient DRAM Caching for Both Hits and Misses in Hybrid Memory Systems. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Chirag Sudarshan, Jan Lappas, Muhammad Mohsin Ghaffar, Vladimir Rybalkin, Christian Weis, Matthias Jung 0001, Norbert Wehn An In-DRAM Neural Network Processing Engine. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Rhongho Jang, Seongkwang Moon, Youngtae Noh, Aziz Mohaisen, DaeHun Nyang InstaMeasure: Instant Per-flow Detection Using Large In-DRAM Working Set of Active Flows. Search on Bibsonomy ICDCS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Lev Mukhanov, Konstantinos Tovletoglou, Hans Vandierendonck, Dimitrios S. Nikolopoulos, Georgios Karakonstantis Workload-Aware DRAM Error Prediction using Machine Learning. Search on Bibsonomy IISWC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Sheel Sindhu Manohar, Sukarn Agarwal, Hemangee K. Kapoor Towards Optimizing Refresh Energy in embedded-DRAM Caches using Private Blocks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Shaahin Angizi, Deliang Fan GraphiDe: A Graph Processing Accelerator leveraging In-DRAM-Computing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yu Ma, Linfeng Zheng, Pingqiang Zhou CoDRAM: A Novel Near Memory Computing Framework with Computational DRAM. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Ning Li, Wen-Yang Jiang, Blacksmith Wu, Kanyu Cao Improve DRAM Leakage Issue During RAS Operational Phase Through TCAD Simulation. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Donald Kline Jr., Stephen Longofono, Rami G. Melhem, Alex K. Jones Predicting Single Event Effects in DRAM. Search on Bibsonomy DFT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Kaustav Goswami 0002, Hemanta Kumar Mondal, Shirshendu Das, Dip Sankar Banerjee State Preserving Dynamic DRAM Bank Re-Configurations for Enhanced Power Efficiency. Search on Bibsonomy ISQED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Duy Thanh Nguyen, Ik-Joon Chang Energy-efficient DNN-training with Stretchable DRAM Refresh Controller and Critical-bit Protection. Search on Bibsonomy ISOCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Chundian Li, Mingzhe Zhang, Zhiwei Xu, Xianhe Sun Self-Adaptive Address Mapping Mechanism for Access Pattern Awareness on DRAM. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Liu Yang, Peiquan Jin, Shouhong Wan BF-Join: An Efficient Hash Join Algorithm for DRAM-NVM-Based Hybrid Memory Systems. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Gabor Csordas, Mikhail Asiatici, Paolo Ienne In Search of Lost Bandwidth: Extensive Reordering of DRAM Accesses on FPGA. Search on Bibsonomy FPT The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Yiming Zhu What are the driving forces of DRAM? Search on Bibsonomy A-SSCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Bashir M. Sabquat Bahar Talukder, Joseph Kerns, Biswajit Ray, Thomas H. Morris, Md. Tauhidur Rahman 0001 Exploiting DRAM Latency Variations for Generating True Random Numbers. Search on Bibsonomy ICCE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Hasan Hassan, Minesh Patel, Jeremie S. Kim, Abdullah Giray Yaglikçi, Nandita Vijaykumar, Nika Mansouri-Ghiasi, Saugata Ghose, Onur Mutlu CROW: a low-cost substrate for improving DRAM performance, energy efficiency, and reliability. Search on Bibsonomy ISCA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xin-Chuan Wu, Timothy Sherwood, Frederic T. Chong, Yanjing Li Protecting Page Tables from RowHammer Attacks using Monotonic Pointers in DRAM True-Cells. Search on Bibsonomy ASPLOS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Mahdi Nazm Bojnordi, Farhan Nasrullah ReTagger: An Efficient Controller for DRAM Cache Architectures. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Duy Thanh Nguyen, Nhut-Minh Ho, Ik-Joon Chang St-DRC: Stretchable DRAM Refresh Controller with No Parity-overhead Error Correction Scheme for Energy-efficient DNNs. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Xin Xin 0008, Youtao Zhang, Jun Yang 0002 ROC: DRAM-based Processing with Reduced Operation Cycles. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Quan Deng, Youtao Zhang, Minxuan Zhang, Jun Yang 0002 LAcc: Exploiting Lookup Table-based Fast and Accurate Vector Multiplication in DRAM-based CNN Accelerator. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Gaspar Tognetti, Jonah Sengupta, Philippe O. Pouliquen, Andreas G. Andreou Characterization of a pseudo-DRAM Crossbar Computational Memory Array in 55nm CMOS : (Invited Paper). Search on Bibsonomy CISS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Rhongho Jang, Seongkwang Moon, Youngtae Noh, Aziz Mohaisen, DaeHun Nyang A cost-effective anomaly detection system using in-DRAM working set of active flows table: poster. Search on Bibsonomy WiSec The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
13Saugata Ghose, Abdullah Giray Yaglikçi, Raghav Gupta, Donghyuk Lee, Kais Kudrolli, William X. Liu, Hasan Hassan, Kevin K. Chang, Niladrish Chatterjee, Aditya Agrawal, Mike O'Connor, Onur Mutlu What Your DRAM Power Models Are Not Telling You: Lessons from a Detailed Experimental Study. Search on Bibsonomy Proc. ACM Meas. Anal. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Il-Min Yi, Min-Kyun Chae, Seok-Hun Hyun, Seung-Jun Bae, Jung-Hwan Choi, Seong-Jin Jang, Byungsub Kim, Jae-Yoon Sim, Hong-June Park A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile DRAM Interface. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Robert Giterman, Alexander Fish, Narkis Geuli, Elad Mentovich, Andreas Burg, Adam Teman An 800-MHz Mixed- VT 4T IFGC Embedded DRAM in 28-nm CMOS Bulk Process for Approximate Storage Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Martin Brox, Mani Balakrishnan, Martin Broschwitz, Cristian Chetreanu, Stefan Dietrich, Fabien Funfrock, Marcos Alvarez Gonzalez, Thomas Hein, Eugen Huber, Daniel Lauber, Milena Ivanov, Maksim Kuzmenka, Chris Mohr, Juan Ocon Garrido, Swetha Padaraju, Sven Piatkowski, Jan Pottgiesser, Peter Pfefferl, Manfred Plan, Jens Polney, Stephan Rau, Michael Richter 0003, Ronny Schneider, Ralf Oliver Seitter, Wolfgang Spirkl, Marc Walter, Jörg Weller, Filippo Vitale An 8-Gb 12-Gb/s/pin GDDR5X DRAM for Cost-Effective High-Performance Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Seikwon Kim, Wonsang Kwak, Changdae Kim, Jaehyuk Huh 0001 Zebra Refresh: Value Transformation for Zero-Aware DRAM Refresh Reduction. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Engin Ipek, Florian Longnos, Shihai Xiao, Wei Yang Vertical Writes: Closing the Throughput Gap between Deeply Scaled STT-MRAM and DRAM. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Jitae Yun, Su-Kyung Yoon, Jeong-Geun Kim, Bernd Burgstaller, Shin-Dug Kim Regression Prefetcher with Preprocessing for DRAM-PCM Hybrid Main Memory. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Debiprasanna Sahoo, Swaraj Sha, Manoranjan Satpathy, Madhu Mutyam ReDRAM: A Reconfigurable DRAM Cache for GPGPUs. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Nikolaos Athanasios Anagnostopoulos, Stefan Katzenbeisser 0001, John A. Chandy, Fatemeh Tehranipoor An Overview of DRAM-Based Security Primitives. Search on Bibsonomy Cryptogr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Larry Pearlstein, Skyler Maxwell, Alex Aved Adaptive prediction resolution video coding for reduced DRAM bandwidth. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Sukhan Lee 0002, Hyunyoon Cho, Young Hoon Son, Yuhwan Ro, Nam Sung Kim, Jung Ho Ahn Leveraging Power-Performance Relationship of Energy-Efficient Modern DRAM Devices. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Zongwei Zhu, Jing Cao, Xi Li 0003, Junneng Zhang, Youqing Xu, Gangyong Jia Impacts of Memory Address Mapping Scheme on Reducing DRAM Self-Refresh Power for Mobile Computing Devices. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Su-Kyung Yoon, Young-Sun Youn, Jeong-Geun Kim, Shin-Dug Kim Design of DRAM-NAND flash hybrid main memory and Q-learning-based prefetching method. Search on Bibsonomy J. Supercomput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Satoshi Imamura, Yuichiro Yasui, Koji Inoue, Takatsugu Ono, Hiroshi Sasaki 0001, Katsuki Fujisawa Evaluating Energy-Efficiency of DRAM Channel Interleaving Schemes for Multithreaded Programs. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
13Miseon Han, Yeoul Na, Dongha Jung, Hokyoon Lee, Seon Wook Kim, Youngsun Han Energy-Efficient DRAM Selective Refresh Technique with Page Residence in a Memory Hierarchy of Hardware-Managed TLB. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2246 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license