The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "HPCA"( http://dblp.L3S.de/Venues/HPCA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/hpca

Publication years (Num. hits)
1995 (37) 1996 (30) 1997 (31) 1998 (32) 1999 (43) 2000 (36) 2001 (27) 2002 (29) 2003 (35) 2004 (28) 2005 (36) 2006 (32) 2007 (33) 2008 (38) 2009 (40) 2010 (40) 2011 (49) 2012 (40) 2013 (56) 2014 (59) 2015 (56) 2016 (58) 2017 (56) 2018 (63) 2019 (57) 2020 (55) 2021 (70) 2022 (86) 2023 (95) 2024 (82)
Publication types (Num. hits)
inproceedings(1399) proceedings(30)
Venues (Conferences, Journals, ...)
HPCA(1429)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 335 occurrences of 238 keywords

Results
Found 1429 publication records. Showing 1429 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Guangyu Sun 0003, Yongsoo Joo, Yibo Chen, Dimin Niu, Yuan Xie 0001, Yiran Chen 0001, Hai Li 0001 A Hybrid solid-state storage architecture for the performance, energy consumption, and lifetime improvement. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mingsong Bi, Igor Crk, Chris Gniady IADVS: On-demand performance for interactive applications. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Libo Huang, Li Shen 0007, Zhiying Wang 0003, Wei Shi, Nong Xiao, Sheng Ma SIF: Overcoming the limitations of SIMD devices via implicit permutation. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tilak Agerwala Exascale computing: The challenges and opportunities in the next decade. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Polychronis Xekalakis, Marcelo Cintra Handling branches in TLS systems with Multi-Path Execution. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arijit Biswas, Charles Recchia, Shubhendu S. Mukherjee, Vinod Ambrose, Leo Chan, Aamer Jaleel, Athanasios E. Papathanasiou, Mike Plaster, Norbert Seifert Explaining cache SER anomaly using DUE AVF measurement. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bogdan F. Romanescu, Alvin R. Lebeck, Daniel J. Sorin, Anne Bracy UNified Instruction/Translation/Data (UNITD) coherence: One protocol to rule them all. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mingsong Bi, Ran Duan, Chris Gniady Delay-Hiding energy management mechanisms for DRAM. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yan Pan, John Kim, Gokhan Memik FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xiaowei Jiang, Niti Madan, Li Zhao 0002, Mike Upton, Ravishankar R. Iyer 0001, Srihari Makineni, Donald Newell, Yan Solihin, Rajeev Balasubramonian CHOP: Adaptive filter-based DRAM caching for CMP server platforms. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi, Michele Franceschini, Luis Alfonso Lastras-Montaño Improving read performance of Phase Change Memories via Write Cancellation and Write Pausing. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tong Li 0003, Paul Brett, Rob C. Knauerhase, David A. Koufaty, Dheeraj Reddy, Scott Hahn Operating system support for overlapping-ISA heterogeneous multi-core architectures. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sajib Kundu, Raju Rangaswami, Kaushik Dutta, Ming Zhao 0002 Application performance modeling in a virtualized environment. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yoongu Kim, Dongsu Han, Onur Mutlu, Mor Harchol-Balter ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Aniruddha N. Udipi, Naveen Muralimanohar, Rajeev Balasubramonian Towards scalable, energy-efficient, bus-based on-chip networks. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrew D. Hilton, Amir Roth BOLT: Energy-efficient Out-of-Order Latency-Tolerant execution. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Syed Ali Raza Jafri, Mithuna Thottethodi, T. N. Vijaykumar LiteTM: Reducing transactional state overhead. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yaozu Dong, Xiaowei Yang, Xiaoyong Li, Jianhui Li, Kun Tian, Haibing Guan High performance network virtualization with SR-IOV. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arvind Is hardware innovation over? Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Matthew T. Jacob, Chita R. Das, Pradip Bose (eds.) 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 9-14 January 2010, Bangalore, India Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  BibTeX  RDF
1Jaume Abella 0001, Pedro Chaparro, Xavier Vera, Javier Carretero, Antonio González 0001 High-Performance low-vcc in-order core. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jason E. Miller, Harshad Kasture, George Kurian, Charles Gruenwald III, Nathan Beckmann, Christopher Celio, Jonathan Eastep, Anant Agarwal Graphite: A distributed parallel simulator for multicores. Search on Bibsonomy HPCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xin Fu, Tao Li, José A. B. Fortes Soft error vulnerability aware process variation mitigation. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Brian Greskamp, Lu Wan, Ulya R. Karpuzcu, Jeffrey J. Cook, Josep Torrellas, Deming Chen, Craig B. Zilles Blueshift: Designing processors for timing speculation from the ground up. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sebastian Herbert, Diana Marculescu Variation-aware dynamic voltage/frequency scaling. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Parthasarathy Ranganathan Industrial perspectives panel. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Amit Kumar 0008, Ram Huggahalli, Srihari Makineni Characterization of Direct Cache Access on multi-core systems and 10GbE. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Lide Duan, Bin Li 0008, Lu Peng 0001 Versatile prediction and fast estimation of Architectural Vulnerability Factor from processor performance metrics. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Xi E. Chen, Tor M. Aamodt A first-order fine-grained multithreaded throughput model. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jingfei Kong, Onur Aciiçmez, Jean-Pierre Seifert, Huiyang Zhou Hardware-software integrated approaches to defend against software cache-based side channel attacks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Eiman Ebrahimi, Onur Mutlu, Yale N. Patt Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Guangyu Sun 0003, Xiangyu Dong, Yuan Xie 0001, Jian Li 0059, Yiran Chen 0001 A novel architecture of the 3D stacked MRAM L2 cache for CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Samantika Subramaniam, Anne Bracy, Hong Wang 0003, Gabriel H. Loh Criticality-based optimizations for efficient load processing. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ibrahim Hur, Calvin Lin Feedback mechanisms for improving probabilistic memory prefetching. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vilas Sridharan, David R. Kaeli Eliminating microarchitectural dependency from Architectural Vulnerability. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yale N. Patt Multi-core demands multi-interfaces. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mark D. Hill Opportunities beyond single-core microprocessors. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Manu Awasthi, Kshitij Sudan, Rajeev Balasubramonian, John B. Carter Dynamic hardware-assisted software-controlled page placement to manage capacity allocation and sharing within large caches. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Prith Banerjee An intelligent IT infrastructure for the future. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hiroki Matsutani, Michihiro Koibuchi, Hideharu Amano, Tsutomu Yoshinaga Prediction router: Yet another low latency on-chip router architecture. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michael D. Powell, Arijit Biswas, Joel S. Emer, Shubhendu S. Mukherjee, Basit R. Sheikh, Shrirang M. Yardi CAMP: A technique to estimate per-structure power at run-time using a few simple parameters. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Thomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Practical off-chip meta-data for temporal memory streaming. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  BibTeX  RDF
1Sami Yehia, Sylvain Girbal, Hugues Berry, Olivier Temam Reconciling specialization and flexibility through compound circuits. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Pablo Abad Fidalgo, Valentin Puente, José-Ángel Gregorio MRR: Enabling fully adaptive multicast routing for CMP interconnection networks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Boris Grot, Joel Hestness, Stephen W. Keckler, Onur Mutlu Express Cube Topologies for on-Chip Interconnects. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Gu-Yeon Wei, Michael D. Smith 0001, David M. Brooks Voltage emergency prediction: Using signatures to reduce operating margins. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Niti Madan, Li Zhao 0002, Naveen Muralimanohar, Aniruddha N. Udipi, Rajeev Balasubramonian, Ravishankar R. Iyer 0001, Srihari Makineni, Donald Newell Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi Adaptive Spill-Receive for robust high-performance caching in CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1George Michelogiannakis, James D. Balfour, William J. Dally Elastic-buffer flow control for on-chip networks. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kevin Fan, Manjunath Kudlur, Ganesh S. Dasika, Scott A. Mahlke Bridging the computation gap between programmable processors and hardwired accelerators. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mainak Chaudhuri PageNUCA: Selected policies for page-grain locality management in large shared chip-multiprocessor caches. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Man-Lap Li, Pradeep Ramachandran, Ulya R. Karpuzcu, Siva Kumar Sastry Hari, Sarita V. Adve Accurate microarchitecture-level fault modeling for studying hardware faults. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mark Stephenson, Lixin Zhang 0002, Ram Rangan Lightweight predication support for out of order processors. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Andrew D. Hilton, Santosh Nagarakatte, Amir Roth iCFP: Tolerating all-level cache misses in in-order processors. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yunji Chen, Yi Lv, Weiwu Hu, Tianshi Chen 0002, Haihua Shen, Pengyu Wang, Hong Pan Fast complete memory consistency verification. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yi Xu, Yu Du, Bo Zhao 0007, Xiuyi Zhou, Youtao Zhang, Jun Yang 0002 A low-radix and low-diameter 3D interconnection network design. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Andrew DeOrio, Ilya Wagner, Valeria Bertacco Dacota: Post-silicon validation of the memory subsystem in multi-core designs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hashem Hashemi Najaf-abadi, Eric Rotenberg Architectural Contesting. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Reetuparna Das, Soumya Eachempati, Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sangmin Seo, Jaejin Lee, Zehra Sura Design and implementation of software-managed caches for multicores with local memory. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ajay M. Joshi, Lieven Eeckhout, Lizy Kurian John, Ciji Isen Automated microprocessor stressmark generation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Samantika Subramaniam, Milos Prvulovic, Gabriel H. Loh PEEP: Exploiting predictability of memory dependences in SMT processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Paul Gratz, Boris Grot, Stephen W. Keckler Regional congestion awareness for load balance in networks-on-chip. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Reetuparna Das, Asit K. Mishra, Chrysostomos Nicopoulos, Dongkook Park, Vijaykrishnan Narayanan, Ravishankar R. Iyer 0001, Mazin S. Yousif, Chita R. Das Performance and power optimization through data compression in Network-on-Chip architectures. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Brian Rogers, Chenyu Yan, Siddhartha Chhabra, Milos Prvulovic, Yan Solihin Single-level integrity and confidentiality protection for distributed shared memory multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Ibrahim Hur, Calvin Lin A comprehensive approach to DRAM power management. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Luiz E. Ramos, Ricardo Bianchini C-Oracle: Predictive thermal management for data centers. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1M. Wasiur Rashid, Michael C. Huang 0001 Supporting highly-decoupled thread-level redundancy for parallel programs. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Benjamin C. Lee, David M. Brooks Roughness of microarchitectural design topologies and its implications for optimization. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Guru Venkataramani, Ioannis Doudalis, Yan Solihin, Milos Prvulovic FlexiTaint: A programmable accelerator for dynamic taint propagation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kevin Leigh, Parthasarathy Ranganathan, Jaspal Subhlok Fabric convergence implications on systems architecture. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Joe Rattner Intel's Tera-scale Computing Project: The first five years, the next five years. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Christian Fensch, Marcelo Cintra An OS-based alternative to full hardware coherence on tiled CMPs. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Qian Diao, Justin J. Song Prediction of CPU idle-busy activity pattern. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kaiyu Chen, Sharad Malik, Priyadarsan Patra Runtime validation of memory ordering using constraint graph checking. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Frances E. Allen Compilers and parallel computing systems. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Wonyoung Kim, Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks System level analysis of fast, per-core DVFS using on-chip switching regulators. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Pierre Salverda, Craig B. Zilles Fundamental performance constraints in horizontal fusion of in-order cores. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 16-20 February 2008, Salt Lake City, UT, USA Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  BibTeX  RDF
1Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith 0001, Gu-Yeon Wei, David M. Brooks DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Runahead Threads to improve SMT performance. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt Performance-aware speculation control using wrong path usefulness prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Richard H. Larson, John K. Salmon, Ron O. Dror, Martin M. Deneroff, Cliff Young, J. P. Grossman, Yibing Shan, John L. Klepeis, David E. Shaw High-throughput pairwise point interactions in Anton, a specialized machine for molecular dynamics simulation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Luis Useche, Jorge Guerra, Medha Bhadkamkar, Mauricio Alarcon, Raju Rangaswami EXCES: External caching in energy saving storage systems. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Xiaorui Wang, Ming Chen 0002 Cluster-level feedback power control for performance optimization. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Sumeet Kumar, Aneesh Aggarwal Speculative instruction validation for performance-reliability trade-off. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1JaeWoong Chung, Michael Dalton, Hari Kannan, Christos Kozyrakis Thread-safe dynamic binary translation using transactional memory. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1M. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Glenn Reinman, Eran Socher, Sai-Wang Tam CMP network-on-chip overlaid with multi-band RF-interconnect. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Mark D. Hill Amdahl's Law in the multicore era. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Jeffrey Kuskin, Cliff Young, J. P. Grossman, Brannon Batson, Martin M. Deneroff, Ron O. Dror, David E. Shaw Incorporating flexibility in Anton, a specialized machine for molecular dynamics simulation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kshitiz Malik, Mayank Agarwal, Sam S. Stone, Kevin M. Woley, Matthew I. Frank Branch-mispredict level parallelism (BLP) for control independence. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Kshitiz Malik, Mayank Agarwal, Vikram Dhar, Matthew I. Frank PaCo: Probability-based path confidence prediction. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Valentina Salapura, Matthias A. Blumrich, Alan Gara Design and implementation of the blue gene/P snoop filter. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou Address-branch correlation: A novel locality for long-latency hard-to-predict branches. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Hongtao Zhong, Mojtaba Mehrara, Steven A. Lieberman, Scott A. Mahlke Uncovering hidden loop level parallelism in sequential applications. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Philip M. Wells, Gurindar S. Sohi Serializing instructions in system-intensive workloads: Amdahl's Law strikes again. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Nidhi Aggarwal, Jason F. Cantin, Mikko H. Lipasti, James E. Smith 0001 Power-Efficient DRAM Speculation. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1429 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license