The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISPD"( http://dblp.L3S.de/Venues/ISPD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ispd

Publication years (Num. hits)
1997 (34) 1998 (32) 1999 (33) 2000 (35) 2001 (36) 2002 (35) 2003 (32) 2004 (34) 2005 (45) 2006 (40) 2007 (33) 2008 (34) 2009 (34) 2010 (37) 2011 (31) 2012 (34) 2013 (39) 2014 (31) 2015 (30) 2016 (32) 2017 (32) 2018 (28) 2019 (40) 2020 (23) 2021 (27) 2022 (42) 2023 (50) 2024 (50)
Publication types (Num. hits)
inproceedings(956) proceedings(27)
Venues (Conferences, Journals, ...)
ISPD(983)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 841 occurrences of 340 keywords

Results
Found 983 publication records. Showing 983 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Kusnadi, Jo Dale Carothers A method of measuring nets routability for MCM's general area routing problems. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Dirk Stroobandt, Peter Verplaetse, Jan Van Campenhout Towards synthetic benchmark circuits for evaluating timing-driven CAD tools. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Kevin T. Tang, Eby G. Friedman Interconnect coupling noise in CMOS VLSI circuits. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Piotr Berman, Andrew B. Kahng, Devendra Vidhani, Huijuan Wang, Alexander Zelikovsky Optimal phase conflict removal for layout of dark field alternating phase shifting masks. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Yanhong Yuan, Prithviraj Banerjee Incremental capacitance extraction and its application to iterative timing-driven detailed routing. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Wei Chen, Cheng-Ta Hsieh, Massoud Pedram Gate sizing with controlled displacement. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Joseph L. Ganley Efficient solution of systems of orientation constraints. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Sung-Woo Hur, Ashok Jagannathan, John Lillis Timing driven maze routing. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Masato Iwabuchi, Noboru Sakamoto, Yasushi Sekine, Takashi Omachi A methodology to analyze power, voltage drop and their effects on clock skew/delay in early stages of design. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Ching-Han Tsai, Sung-Mo Kang Standard cell placement for even on-chip thermal distribution. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Sachio Hayashi, Masaaki Yamada EMI-noise analysis under ASIC design environment. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Michael A. Riepe, Karem A. Sakallah Transistor level micro-placement and routing for two-dimensional digital VLSI cell synthesis. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Patrick H. Madden Partitioning by iterative deletion. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Jiang Hu, Sachin S. Sapatnekar Simultaneous buffer insertion and non-Hanan optimization for VLSI interconnect under a higher order AWE model. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Durgam Vahia, Maciej J. Ciesielski Transistor level placement for full custom datapath cell design. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1D. F. Wong 0001 (eds.) Proceedings of the 1999 International Symposium on Physical Design, ISPD 1999, Monterey, CA, USA, April 12-14, 1999 Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Xuan Zeng 0001, Dian Zhou, Wei Li Buffer insertion for clock delay and skew minimization. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
1Ralph H. J. M. Otten Global wires: harmful?. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Majid Sarrafzadeh (eds.) Proceedings of the 1998 International Symposium on Physical Design, ISPD 1998, Monterey, CA, USA, April 6-8, 1998 Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Mariusz Niewczas, Wojciech Maly, Andrzej J. Strojwas A pattern matching algorithm for verification and analysis of very large IC layouts. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Huibo Hou, Sachin S. Sapatnekar Routing tree topology construction to meet interconnect timing constraints. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Tilmann Stöhr, Markus Alt, Asmus Hetzel, Jürgen Koehl Analysis, reduction and avoidance of crosstalk on VLSI chips. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Chris C. N. Chu, D. F. Wong 0001 Greedy wire-sizing is linear time. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng Futures for partitioning in physical design (tutorial). Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1S. DasGupta Panel: Given that SEMATECH is levelling the semiconductor technology playing field, will corporate CAD (in particular, PD) tools continue to serve as enablers/differentiators of technology in the future? (panel). Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1S. Grout, G. Ledenbach, R. G. Bushroe, P. Fisher, Donald Cottrell, David Mallis, S. DasGupta, Joseph Morrell, Amrich Chokhavtia CHDStd - application support for reusable hierarchical interconnect timing views. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Evanthia Papadopoulou, D. T. Lee Critical area computation - a new approach. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Sudhakar Muddu New efficient algorithms for computing effective capacitance. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Le-Chin Eugene Liu, Hsiao-Ping Tseng, Carl Sechen Chip-level area routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Charles J. Alpert The ISPD98 circuit benchmark suite. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin Performance-driven soft-macro clustering and placement by preserving HDL design hierarchy. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Sudhakar Bobba, Ibrahim N. Hajj Estimation of maximum current envelope for power bus analysis and design. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Chung-Yang Huang, Yucheng Wang, Kwang-Ting Cheng LIBRA - a library-independent framework for post-layout performance optimization. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Zhaoyun Xing, Prithviraj Banerjee A parallel algorithm for zero skew clock tree routing. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Lawrence T. Pileggi Timing metrics for physical design of deep submicron technologies. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Temo Chen, Michael K. H. Fan On convex formulation of the floorplan area minimization problem. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Hiroshi Murata, Ernest S. Kuh Sequence-pair based placement method for hard/soft/pre-placed modules. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Shantanu Dutt, Halim Theny Partitioning using second-order information and stochastic-gain functions. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Kia Bazargan, Samjung Kim, Majid Sarrafzadeh Nostradamus: a floorplanner of uncertain design. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Guang-Ming Wu, Yao-Wen Chang Switch-matrix architecture and routing for FPDs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Payam Heydari, Massoud Pedram Calculation of ramp response of lossy transmission lines using two-port network functions. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Akira Nagao, Takashi Kambe, Isao Shirakawa A layout approach to monolithic microwave IC. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Gabriel Robins, Anish Singh, Huijuan Wang, Alexander Zelikovsky Filling and slotting: analysis and algorithms. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Maggie Zhiwei Kang, Wayne Wei-Ming Dai Topology constrained rectilinear block packing for layout reuse. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Wojciech Maly Moore's law and physical design of ICs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Jason Cong, Lei He 0001 An efficient technique for device and interconnect optimization in deep submicron designs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Jin Xu, Pei-Ning Guo, Chung-Kuan Cheng Rectilinear block placement using sequence-pair. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
1Huiqun Liu, D. F. Wong 0001 Network flow based multi-way partitioning with area and pin constraints. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Parthasarathi Dasgupta, Susmita Sur-Kolay Slicibility of rectangular graphs and floorplan optimization. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF graph dualization, nonslicible floorplans, slicible floorplans, very large scale integration, heuristic search, planar graphs, floorplanning
1Nevin Kapur, Debabrata Ghosh, Franc Brglez Towards a new benchmarking paradigm in EDA: analysis of equivalence class mutant circuit distributions. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Dennis J.-H. Huang, Andrew B. Kahng Partitioning-based standard-cell global placement with an exact objective. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Jason Cong, Patrick H. Madden Performance driven global routing for standard cell design. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Guy G. Lemieux, Stephen Dean Brown, Daniel Vranesic On two-step routing for FPGAS. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Michael J. Alexander Power optimization for FPGA look-up tables. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Jun Dong Cho A min-cost flow based min-cost rectilinear Steiner distance-preserving tree construction. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Dian Zhou, Xingya Liu Minimization of chip size and power consumption of high-speed VLSI buffers. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1A. Bertolet, K. Carpenter, Keith M. Carrig, Albert M. Chu, A. Dean, Frank D. Ferraiolo, Stephan Kenyon, D. Phan, John G. Petrovick, G. Rodgers, D. Willmott, T. Bairley, T. Decker, V. Girardi, Y. Lapid, M. Murphy, P. Andrew Scott, Richard J. Weiss A pseudo-hierarchical methodology for high performance microprocessor design. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Chris C. N. Chu, D. F. Wong 0001 Closed form solution to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Hiroshi Murata, Kunihiro Fujiyoshi, Mineo Kaneko VLSI/PCB placement with obstacles based on sequence-pair. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Fung Yu Young, D. F. Wong 0001 How good are slicing floorplans?. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1R. G. Bushroe, S. DasGupta, A. Dengi, P. Fisher, S. Grout, G. Ledenbach, N. S. Nagaraj, R. Steele Chip hierarchical design system (CHDS): a foundation for timing-driven physical design into the 21st century. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Juho Kim, Cyrus Bamji, Yanbin Jiang, Sachin S. Sapatnekar Concurrent transistor sizing and buffer insertion by considering cost-delay tradeoffs. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Yu-Wen Tsay, Wen-Jong Fang, Allen C.-H. Wu, Youn-Long Lin Preserving HDL synthesis hierarchy for cell placement. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Majid Sarrafzadeh (eds.) Proceedings of the 1997 International Symposium on Physical Design, ISPD 1997, Napa Valley, California, USA, April 14-16, 1997 Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Kurt Keutzer, A. Richard Newton, Narendra V. Shenoy The future of logic synthesis and physical design in deep-submicron process geometries. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Glenn Holt, Akhilesh Tyagi Minimizing interconnect energy through integrated low-power placement and combinational logic synthesis. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Charles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Andrew B. Kahng, Igor L. Markov, Pep Mulet, Kenneth Yan Faster minimization of linear wirelength for global placement. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1T. C. Hu Physical design: mathematical models and methods. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Young-Jun Cha, Chong S. Rim, Kazuo Nakajima A simple and effective greedy multilayer router for MCMs. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Ernest S. Kuh Physical design: reminiscing and looking ahead. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Chris C. N. Chu, D. F. Wong 0001 A matrix synthesis approach to thermal placement. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1R. X. T. Nijssen, C. A. J. van Eijk Regular layout generation of logically optimized datapaths. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Fook-Luen Heng, Zhan Chen, Gustavo E. Téllez A VLSI artwork legalization technique based on a new criterion of minimum layout perturbation. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Rony Kay, Gennady Bucheuv, Lawrence T. Pileggi EWA: exact wiring-sizing algorithm. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Jason Cong, Andrew B. Kahng, Kwok-Shing Leung Efficient heuristics for the minimum shortest path Steiner arborescence problem with applications to VLSI physical design. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Guenter Stenz, Bernhard M. Riess, Bernhard Rohfleisch, Frank M. Johannes Timing driven placement in interaction with netlist transformations. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1C. Douglass Bateman, Christopher S. Helvig, Gabriel Robins, Alexander Zelikovsky Provably good routing tree construction with multi-port terminals. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Raul Camposano The quarter micron challenge: intergrating physical and logic design. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1David P. LaPotin, Uttam Ghoshal, Eli Chiprout, Sani R. Nassif Physical design challenges for performance. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Jeffrey L. Burns, Jack A. Feldman C5M - a control logic layout synthesis system for high-performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
1Louis Scheffer A roadmap of CAD tool changes for sub-micron interconnect problems. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #983 of 983 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license