The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISVLSI"( http://dblp.L3S.de/Venues/ISVLSI )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isvlsi

Publication years (Num. hits)
2002 (26) 2003 (57) 2004 (71) 2005 (72) 2006 (88) 2007 (94) 2008 (96) 2009 (53) 2010 (110) 2011 (83) 2012 (74) 2013 (50) 2014 (109) 2015 (121) 2016 (128) 2017 (119) 2018 (134) 2019 (116) 2020 (105) 2021 (81) 2022 (90) 2023 (53)
Publication types (Num. hits)
inproceedings(1908) proceedings(22)
Venues (Conferences, Journals, ...)
ISVLSI(1930)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 79 occurrences of 73 keywords

Results
Found 1930 publication records. Showing 1930 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Raluca Marinescu, Eduard Paul Enoiu, Cristina Seceleanu Statistical Analysis of Resource Usage of Embedded Systems Modeled in EAST-ADL. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Saha Mousumi, Biplab K. Sikdar A Cellular Automata Based Fault Tolerant Approach in Designing Test Hardware for L1 Cache Module. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shital Joshi, Elias Kougianos, Saraju P. Mohanty Simscape Based Ultra-Fast Design Exploration of Graphene-Nanoelectronic Systems. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jérôme Rampon, Renaud Perillat, Lionel Torres, Pascal Benoit, Giorgio Di Natale, Mario Barbareschi Digital Right Management for IP Protection. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Moon Seok Kim, William Cane-Wissing, Jack Sampson, Suman Datta, Vijaykrishnan Narayanan, Sumeet Kumar Gupta Comparing Energy, Area, Delay Tradeoffs in Going Vertical with CMOS and Asymmetric HTFETs. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Alan Mishchenko, Maciej J. Ciesielski, Giovanni De Micheli Exploiting Circuit Duality to Speed up SAT. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kaushik Roy 0001, Anand Raghunathan Approximate Computing: An Energy-Efficient Computing Technique for Error Resilient Applications. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1M. E. Portnoi, V. A. Saroka, R. R. Hartmann, O. V. Kibis Terahertz Applications of Carbon Nanotubes and Graphene Nanoribbons. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Christian Piguet, Marc Pons 0001, Daniel Séverac Sub-Threshold Design and Architectural Choices. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Masoud Oveis Gharan, Gul N. Khan Index-Based Round-Robin Arbiter for NoC Routers. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sophiane Senni, Lionel Torres, Gilles Sassatelli, Abdoulaye Gamatié, Bruno Mussard Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shweta Malik, Georg T. Becker, Christof Paar, Wayne P. Burleson Development of a Layout-Level Hardware Obfuscation Tool. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kaisheng Ma, Nandhini Chandramoorthy, Xueqing Li, Sumeet Kumar Gupta, John Sampson, Yuan Xie 0001, Vijaykrishnan Narayanan Using Multiple-Input NEMS for Parallel A/D Conversion and Image Processing. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jiafan Wang 0002, Congyin Shi, Edgar Sánchez-Sinencio, Jiang Hu Built-In Self Optimization for Variation Resilience of Analog Filters. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yannick Bornat, Adam Quotb, Noëlle Lewis, Sylvie Renaud Resource Optimized Processor for Real-Time Neural Activity Monitoring. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ajay Singhvi, Matheus T. Moreira, Ramy N. Tadros, Ney Laert Vilar Calazans, Peter A. Beerel A Fine-Grained, Uniform, Energy-Efficient Delay Element for FD-SOI Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Soumyadip Bandyopadhyay, Dipankar Sarkar 0001, Chittaranjan A. Mandal Validating SPARK: High Level Synthesis Compiler. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ahmedullah Aziz, William Cane-Wissing, Moon Seok Kim, Suman Datta, Vijaykrishnan Narayanan, Sumeet Kumar Gupta Single-Ended and Differential MRAMs Based on Spin Hall Effect: A Layout-Aware Design Perspective. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Edward Jung, Seonho Choi Identification of IP Control Units by State Encoding. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rajshekar Kalayappan, Smruti R. Sarangi SecX: A Framework for Collecting Runtime Statistics for SoCs with Multiple Accelerators. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Victor M. Goncalves Martins, Paulo Ricardo Cechelero Villa, Horácio C. Neto, Eduardo Augusto Bezerra A TMR Strategy with Enhanced Dependability Features Based on a Partial Reconfiguration Flow. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bapi Kar, Susmita Sur-Kolay, Chittaranjan A. Mandal A New Method for Defining Monotone Staircases in VLSI Floorplans. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Christian Brugger, Valentin Grigorovici, Matthias Jung 0001, Christian Weis, Christian de Schryver, Katharina Anna Zweig, Norbert Wehn A Custom Computing System for Finding Similarties in Complex Networks. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Athanasios Dimakos, Martin Andraud, Louay Abdallah, Haralampos-G. D. Stratigopoulos, Emmanuel Simeu, Salvador Mir Test and Calibration of RF Circuits Using Built-in Non-intrusive Sensors. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pascal Vivet, Christian Bernard, Eric Guthmuller, Ivan Miro Panades, Yvain Thonnart, Fabien Clermidy Interconnect Challenges for 3D Multi-cores: From 3D Network-on-Chip to Cache Interconnects. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Giuseppe Airo Farulla, Ludovico Orlando Russo, Vincenzo Gallifuoco, Marco Indaco A Novel Architectural Pattern to Support the Development of Human-Robot Interaction (HRI) Systems Integrating Haptic Interfaces and Gesture Recognition Algorithms. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Arathi Ajay, R. Mary Lourde VLSI Implementation of an Improved Multiplier for FFT Computation in Biomedical Applications. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz Reducing the Storage Requirements of a Set of Functional Test Sequences by Using a Background Sequence. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hao Liu, Clement Devigne, Lucas Garcia, Quentin L. Meunier, Franck Wajsbürt, Alain Greiner RWT: Suppressing Write-Through Cost When Coherence is Not Needed. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Olivier Rossel, Fabien Soulier, Serge Bernard, David Guiraud, Guy Cathébras In-silico Phantom Axon: Emulation of an Action Potential Propagating Along Artificial Nerve Fiber. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Elena Ioana Vatajelu, Giorgio Di Natale, Lionel Torres, Paolo Prinetto STT-MRAM-Based Strong PUF Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jeremy Schlachter, Vincent Camus, Christian C. Enz Near/Sub-Threshold Circuits and Approximate Computing: The Perfect Combination for Ultra-Low-Power Systems. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kunal Banerjee 0001, Chittaranjan A. Mandal, Dipankar Sarkar 0001 Translation Validation of Transformations of Embedded System Specifications Using Equivalence Checking. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anderson Luiz Sartor, Arthur Francisco Lorenzon, Luigi Carro, Fernanda Gusmão de Lima Kastensmidt, Stephan Wong, Antonio Carlos Schneider Beck A Novel Phase-Based Low Overhead Fault Tolerance Approach for VLIW Processors. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Brice Colombier, Lilian Bossuet, David Hély Reversible Denial-of-Service by Locking Gates Insertion for IP Cores Design Protection. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jérémie Clément, Bruno Mussard, David Naccache, Lionel Torres Implementation of AES Using NVM Memories Based on Comparison Function. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amr A. R. Sayed-Ahmed, Ulrich Kühne, Daniel Große, Rolf Drechsler Recurrence Relations Revisited: Scalable Verification of Bit Level Multiplier Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Elena K. Weinberg, Mircea R. Stan SymmTop: A Symmetric Circuit Topology for Ultra Low Power Wide Temperature-Range Applications. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yoshinobu Higami, Senling Wang, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja Diagnosis of Delay Faults Considering Hazards. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Aysa Fakheri Tabrizi, Nima Karimpour Darav, Logan M. Rakai, Andrew A. Kennings, William Swartz, Laleh Behjat A Detailed Routing-Aware Detailed Placement Technique. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yan Fang, Victor V. Yashin, Donald M. Chiarulli, Steven P. Levitan A Simplified Phase Model for Oscillator Based Computing. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Ibrahim, Maurizio Valle, Luca Noli, Hussein Chible Assessment of FPGA Implementations of One Sided Jacobi Algorithm for Singular Value Decomposition. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Syhem Larguech, Florence Azaïs, Serge Bernard, Mariane Comte, Vincent Kerzerho, Michel Renovell A Framework for Efficient Implementation of Analog/RF Alternate Test with Model Redundancy. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Daijiro Murooka, Yu Zhang, Qing Dong 0002, Shigetoshi Nakatake Low-Power and Low-Variability Programmable Delay Element and Its Application to Post-Silicon Skew Tuning. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ivan Ratkovic, Oscar Palomar, Milan Stanic, Milovan Duric, Djordje Peic, Osman S. Unsal, Adrián Cristal, Mateo Valero Joint Circuit-System Design Space Exploration of Multiplier Unit Structure for Energy-Efficient Vector Processors. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zhen Li 0046, Sébastien Le Beux, Christelle Monat, Xavier Letartre, Ian O'Connor Multilevel Modeling Methodology for Reconfigurable Computing Systems Based on Silicon Photonics. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anastasiia Butko, Abdoulaye Gamatié, Gilles Sassatelli, Lionel Torres, Michel Robert Design Exploration for next Generation High-Performance Manycore On-chip Systems: Application to big.LITTLE Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lin Liu 0013, Yuchen Zhou, Shiyan Hu Buffering Single-Walled Carbon Nanotubes Bundle Interconnects for Timing Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anirban Sengupta, Vipul Kumar Mishra Swarm Intelligence Driven Simultaneous Adaptive Exploration of Datapath and Loop Unrolling Factor during Area-Performance Tradeoff. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Karim Kanoun, Martino Ruggiero, David Atienza, Mihaela van der Schaar Low Power and Scalable Many-Core Architecture for Big-Data Stream Computing. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Maciej J. Ciesielski, Walter Brown, Duo Liu, André Rossi Function Extraction from Arithmetic Bit-Level Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja Diagnosis of Gate Delay Faults in the Presence of Clock Delay Faults. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kaisheng Ma, Huichu Liu, Yang Xiao 0002, Yang Zheng, Xueqing Li, Sumeet Kumar Gupta, Yuan Xie 0001, Vijaykrishnan Narayanan Independently-Controlled-Gate FinFET 6T SRAM Cell Design for Leakage Current Reduction and Enhanced Read Access Speed. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Garrett Steven Rose A Chaos-Based Arithmetic Logic Unit and Implications for Obfuscation. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vahid Janfaza, Payman Behnam, Bahjat Forouzandeh, Bijan Alizadeh A Low-Power Enhanced Bitmask-Dictionary Scheme for Test Data Compression. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yassine Fkih, Pascal Vivet, Bruno Rouzeyre, Marie-Lise Flottes, Giorgio Di Natale, Juergen Schloeffel 2D to 3D Test Pattern Retargeting Using IEEE P1687 Based 3D DFT Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Farimah Farahmandi, Bijan Alizadeh, Zainalabedin Navabi Effective Combination of Algebraic Techniques and Decision Diagrams to Formally Verify Large Arithmetic Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Apostolos Dollas Big Data Processing with FPGA Supercomputers: Opportunities and Challenges. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yue Wang, Nagarajan Ranganathan A Feedback, Runtime Technique for Scaling the Frequency in GPU Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hai Li 0001, Miao Hu, Chuandong Li 0001, Shukai Duan Memristor Modeling - Static, Statistical, and Stochastic Methodologies. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhe Wang 0003, Weichen Liu, Jiang Xu 0001, Bin Li 0018, Ravi R. Iyer 0001, Ramesh Illikkal, Xiaowen Wu, Wai Ho Mow, Wenjing Ye A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-Based MPSoCs. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Debashri Roy, Prasun Ghosal, Saraju P. Mohanty FuzzRoute: A Method for Thermally Efficient Congestion Free Global Routing in 3D ICs. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jungmin Park, Akhilesh Tyagi Towards Making Private Circuits Practical: DPA Resistant Private Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiaolin Xu, Vikram B. Suresh, Raghavan Kumar, Wayne P. Burleson Post-Silicon Validation and Calibration of Hardware Security Primitives. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1André Luiz Pereira de França, Ricardo Pereira Jasinski, Volnei Antonio Pedroni, Altair Olivo Santin Moving Network Protection from Software to Hardware: An Energy Efficiency Analysis. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jia Zhao, Shiting (Justin) Lu, Wayne P. Burleson, Russell Tessier A Broadcast-Enabled Sensing System for Embedded Multi-core Processors. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ketul Sutaria, Athul Ramkumar, Rongjun Zhu, Yu Cao 0001 Where is the Achilles Heel under Circuit Aging. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Cory E. Merkel, Dhireesha Kudithipudi Neuromemristive Extreme Learning Machines for Pattern Classification. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ke Jiang, Lejla Batina, Petru Eles, Zebo Peng Robustness Analysis of Real-Time Scheduling Against Differential Power Analysis Attacks. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Faiq Khalid Lodhi, Syed Rafay Hasan, Osman Hasan, Falah R. Awwad Low Power Soft Error Tolerant Macro Synchronous Micro Asynchronous (MSMA) Pipeline. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mahanama Wickramasinghe, Hui Guo 0001 Energy-Aware Thread Scheduling for Embedded Multi-threaded Processors: Architectural Level Design and Implementation. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zheng Wang 0020, Goutam Paul 0001, Anupam Chattopadhyay Processor Design with Asymmetric Reliability. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jun Zhou 0022, Huawei Li 0001, Yuntan Fang, Tiancheng Wang, Yuanqing Cheng, Xiaowei Li 0001 HARS: A High-Performance Reliable Routing Scheme for 3D NoCs. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Juan Yi, Weichen Liu, Weiwen Jiang, Mingwen Qin, Lei Yang 0018, Duo Liu, Chunming Xiao, Luelue Du, Edwin Hsing-Mean Sha An Improved Thermal Model for Static Optimization of Application Mapping and Scheduling in Multiprocessor System-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Prateek Thakyal, Prabhat Mishra 0001 Layout-Aware Selection of Trace Signals for Post-Silicon Debug. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mike Borowczak, Ranga Vemuri Enabling Side Channel Secure FSMs in the Presence of Low Power Requirements. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hemanta Kumar Mondal, Gade Narayana Sri Harsha, Sujay Deb An Efficient Hardware Implementation of DVFS in Multi-core System with Wireless Network-on-Chip. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tosiron Adegbija, Ann Gordon-Ross Dynamic Phase-Based Optimization of Embedded Systems. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Liang Men, Brent Hollosi, Jia Di Framework of an Adaptive Delay-Insensitive Asynchronous Platform for Energy Efficiency. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Atul Kumar Nishad, Aditya Dalakoti, Ashish Jindal, Rahul Kumar, Somesh Kumar, Rohit Sharma Analytical Model for Inverter Design Using Floating Gate Graphene Field Effect Transistors. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anu Asokan, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel A Delay Probability Metric for Input Pattern Ranking Under Process Variation and Supply Noise. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vinitha Arakkonam Palaniveloo, Jude Angelo Ambrose, Arcot Sowmya Improving GA-Based NoC Mapping Algorithms Using a Formal Model. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1K. M. Mohsin, Ashok Srivastava, Ashwani K. Sharma, Clay Mayberry Characterization of MWCNT VLSI Interconnect with Self-Heating Induced Scatterings. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Lei Xu 0012, Weidong Shi Removing the Root of Trust: Secure Oblivious Key Establishment for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita Variation-Aware Analysis and Test Pattern Generation Based on Functional Faults. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Umamaheswara Rao Tida, Varun Mittapalli, Cheng Zhuo, Yiyu Shi 0001 "Green" On-chip Inductors in Three-Dimensional Integrated Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Adriel Mota Ziesemer, Ricardo Augusto da Luz Reis Simultaneous Two-Dimensional Cell Layout Compaction Using MILP with ASTRAN. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vikram B. Suresh, Wayne P. Burleson Variation Aware Design of Post-Silicon Tunable Clock Buffer. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rupali Mitra, Debesh K. Das, Bhargab B. Bhattacharya On Designing Robust Path-Delay Fault Testable Combinational Circuits Based on Functional Properties. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xueqing Li, Wei-Yu Tsai, Huichu Liu, Suman Datta, Vijaykrishnan Narayanan A Low-Voltage Low-Power LC Oscillator Using the Diode-Connected SymFET. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kaushik Roy 0001, Mrigank Sharad, Deliang Fan, Karthik Yogendra Computing with Spin-Transfer-Torque Devices: Prospects and Perspectives. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz FDPIC: Generation of Functional Test Sequences Based on Fault-Dependent Primary Input Cubes. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bradley T. Kiddie, William H. Robinson Alternative Standard Cell Placement Strategies for Single-Event Multiple-Transient Mitigation. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Can Sitik, Leo Filippini, Emre Salman, Baris Taskin High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dominik Auras, Rainer Leupers, Gerd Ascheid A Novel Class of Linear MIMO Detectors with Boosted Communications Performance: Algorithm and VLSI Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hourieh Attarzadeh, Trond Ytterdal A Low-Noise Variable-Gain Amplifier for in-Probe 3D Imaging Applications Based on CMUT Transducers. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Surajit Kumar Roy, Payel Ghosh, Hafizur Rahaman 0001, Chandan Giri Session Based Core Test Scheduling for 3D SOCs. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manish Kumar Jaiswal, Ray C. C. Cheung, M. Balakrishnan, Kolin Paul Configurable Architecture for Double/Two-Parallel Single Precision Floating Point Division. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gracieli Posser, Jozeanne Belomo, Cristina Meinhardt, Ricardo Augusto da Luz Reis Perfomance Improvement with Dedicated Transistor Sizing for MOSFET and FinFET Devices. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sophiane Senni, Lionel Torres, Gilles Sassatelli, Anastasiia Butko, Bruno Mussard Exploration of Magnetic RAM Based Memory Hierarchy for Multicore Architecture. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1930 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license