The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "NEWCAS"( http://dblp.L3S.de/Venues/NEWCAS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/newcas

Publication years (Num. hits)
2012 (141) 2013 (116) 2014 (121) 2015 (145) 2016 (110) 2017 (103) 2018 (91) 2019 (98) 2020 (84) 2021 (68) 2022 (113) 2023 (173)
Publication types (Num. hits)
inproceedings(1351) proceedings(12)
Venues (Conferences, Journals, ...)
NEWCAS(1363)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1363 publication records. Showing 1363 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Lei Wu, Ching-Chuen Jong A curve fitting approach for non-iterative divider design with accuracy and performance trade-off. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ata Khorami, Mohammad S. Eslampanah Sendi, Ali Nikoofard, Mohammad Sharifkhani Zero-power mismatch-independent Digital to Analog converter. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hervé Barthélemy, Remy Vauche, Sylvain Bourdel Digitally controlled transconductor based on a quantum transconductance. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Domenico Pepe, Domenico Zito A compact 67 GHz oscillator in 65nm CMOS. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zahra Katbay, Sawsan Sadek, Raafat Lababidi, André Pérennec, Marc Le Roy Miniature antenna for breast tumor detection. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1L. Chandernagor, P. Jean, Julien Lintignat, Bernard Jarry Self calibrating high sensitivity ultra-low power envelope detector. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Denis Sallin, Adil Koukab, Maher Kayal Optimized operation and temperature dependence of a direct light-to-time converter. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gönenç Berkol, Ahmet Unutulmaz, Engin Afacan, Günhan Dündar, Francisco V. Fernández 0001, Ali Emre Pusane, I. Faik Baskaya A two-step layout-in-the-loop design automation tool. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dietmar Kissinger, Johannes Nehring, Andreas Oborovski, Karl Borutta, Ismail Nasr, Benjamin Laemmle, Robert Weigel Integrated test concepts for in-situ millimeter-wave device characterization. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohamed Mohie El-Din, Hassan Mostafa, Hossam A. H. Fahmy, Yehea I. Ismail, Hamdy Abdelhamid Performance evaluation of FinFET-based FPGA cluster under threshold voltage variation. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Elie Lefeuvre, Jie Wei, Hervé Mathias, François Costa Single-switch inductorless power management circuit for electrostatic vibration energy harvesters. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Joan Mauricio, Francesc Moll Local variations compensation with DLL-based Body Bias Generator for UTBB FD-SOI technology. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1L. Galatro, M. Spirito Calibration and characterization techniques for on-wafer device characterization. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Abdallah Meraoumia, Salim Chitroub, Ahmed Bouridane An automated ear identification system using Gabor filter responses. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yalçin Balcioglu, Günhan Dündar A synthesizable Time to Digital Converter (TDC) with MIMO spatial oversampling method. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Roya Alizadeh, Normand Bélanger, Yvon Savaria, Jean-François Frigon DPDK and MKL; Enabling technologies for near deterministic cloud-based signal processing. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seok Min Jung, Janet Meiling Roveda A low jitter digital phase-locked loop with a hybrid analog/digital PI control. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chithira Ravi, Vineeth Sarma, Bibhudatta Sahoo 0002 At speed digital gain error calibration of pipelined ADCs. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wen Yuan, Jeffrey S. Walling A switched-capacitor controlled digital-current modulated class-E EER transmitter. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matthieu Verdy, Dominique Morche, Emeric de Foucauld, Suzanne Lesecq, Jean-Pascal Mallet, Cedric Mayor Balancing test cost reduction vs. measurements accuracy at test time. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Massimo Alioto, Gaetano Palumbo, Elio Consoli Variability budgetin pulsed flip-flops. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Robert Polster, Jose-Luis Gonzalez Jimenez, Eric Cassan A novel optical integrate and dump receiver for clocking signals. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tekfouy Lim, Horst A. Gieser, Luca Santarelli, Franco Cacialli Electrostatic discharge sensitivity investigation on organic field-effect thin film transistors. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mohamed Lamine Tounsi, Mustapha Chérif-Eddine Yagoub Dispersion characteristics of multilayered anisotropie microwave circuits independently of the optical axis polarization. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rengarajan Ragavan, Cédric Killian, Olivier Sentieys Low complexity on-chip distributed DC-DC converter for low power WSN nodes. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Satoshi Oosawa, Takayuki Konishi, Naoya Onizawa, Takahiro Hanyu Design of an STT-MTJ based true random number generator using digitally controlled probability-locked loop. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Florent Torres, Jean-Baptiste Bégueret, Nicolas Martin, Didier Belot, Thierry Taris A novel tunable impedance transmission line for mm-waves applications. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shengjing Li, Weitao Li, Fule Li, Zhihua Wang 0001, Chun Zhang A digital blind background calibration algorithm for pipelined ADC. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Khaled Salah 0001, Yehea I. Ismail New TSV-Based applications: Resonant inductive coupling, variable inductor, power amplifier, bandpass filter, and antenna. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shigenori Yamauchi, Takamoto Watanabe All-digital MEMS tuning-fork self-excited vibration control by phase-relation using TAD-based ADPLL. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 IEEE 13th International New Circuits and Systems Conference, NEWCAS 2015, Grenoble, France, June 7-10, 2015 Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  BibTeX  RDF
1Sebastien Boisseau, Pierre Gasnier, Matthias Perez, C. Bouvard, M. Geisler, A. B. Duret, Ghislain Despesse, Jérôme Willemin Synchronous Electric Charge Extraction for multiple piezoelectric energy harvesters. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dorra Mellouli Moalla, David Cordeau, Hassène Mnif, Jean-Marie Paillot, Mourad Loulou A fully integrated 5.78 GHz array of two differential oscillators coupled through a MOS transistor network. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yeter Akgul, Diego Puschini, Lionel Vincent, Maurício Altieri, Pascal Benoit Energy-efficient control through power mode placement with discrete DVFS and Body Bias. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amer Samarah, Anthony Chan Carusone Cycle-slipping pull-in range of bang-bang PLLs. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Omar Abdelfattah, Ishiang Shih, Gordon W. Roberts, Yi-Chi Shih A 0.6V-supply bandgap reference in 65 nm CMOS. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Leonardo Bandeira Soares, Sergio Bampi, Andre Luis Rodeghiero Rosa, Eduardo A. C. da Costa Near-threshold computing for very wide frequency scaling: Approximate adders to rescue performance. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David Cavalheiro, Francesc Moll, Stanimir Stoyanov Valtchev Tunnel FET device characteristics for RF energy harvesting passive rectifiers. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Delong Shang, Oyinkuro Benafa, Fei Xia, Yuqing Xu, Alex Yakovlev An elastic timer for wide dynamic working range. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1David Cordova, Pedro Toledo, Hamilton Klimach, Sergio Bampi, Eric E. Fabris EMI resisting MOSFET-Only Voltage Reference based on the ZTC condition. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1D. Danilovic, Andreia Cathelin, Andrei Vladimirescu, Borivoje Nikolic Design considerations for low-noise transconductance amplifiers in 28nm UTBB-FDSOI. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ehsan Ali, Wenceslas Rahajandraibe, Ndiogou Tall, Fayrouz Haddad, Christian Hangmann, Christian Hedayat Modeling & PVT characterization of arbitrary ordered VSCP-PLL using an efficient event-driven approach. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Parinaz Hadadtehrani, Pouya Kamalinejad, Reza Molavi, Shahriar Mirabbasi An adaptive magnetically coupled wireless power transmission system. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zeng-Qi Wang, Zhiqun Li A 1V 830μW full-band ZigBee receiver front-end with current-reuse and Gm-boosting techniques. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Assim Boukhayma, Christian C. Enz A new method for kTC noise analysis in periodic passive switched-capacitor networks. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Athanasios Kiouseloglou, Gabriele Navarro, Alessandro Cabrini, Luca Perniola, Guido Torelli Optimized temperature profile based pulse generator for innovative Phase Change Memory. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xinghua Yang, Fei Qiao, Qi Wei 0001, Huazhong Yang A general scheme for noise-tolerant logic design based on probabilistic and DCVS approaches. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Farouk Amish, El-Bay Bourennane A novel hardware accelerator for the HEVC intra prediction. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pankaj Kumar Jha, Pravanjan Patra, Jairaj Naik, Ashudeb Dutta, Amit Acharyya, Pachamuthu Rajalakshmi, Shiv Govind Singh A 2μW biomedical frontend with ΣΔ ADC for self-powered U-healthcare devices in 0.18μm CMOS technology. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Muriel Muller, Ghalid I. Abib Ultra WideBand RADAR system for human chest displacement. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Benedikt Janßen, Fynn Schwiegelshohn, Michael Hübner 0001 Adaptive computing in real-time applications. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sumedh Dhabu, A. Prasad Vinod 0001, A. S. Madhukumar Low complexity fast filter bank-based channelization in L-DACS1 for aeronautical communications. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fikre Tsigabu Gebreyohannes, Antoine Frappé, Andreas Kaiser Semi-digital FIR DAC for low power single carrier IEEE 802.11ad 60GHz transmitter. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Weidong Cao, Ziqiang Wang, Dongmei Li, Xuqiang Zheng, Ke Huang 0003, Shuai Yuan 0005, Fule Li, Zhihua Wang 0001 A 40Gb/s 27mW 3-tap closed-loop decision feedback equalizer in 65nm CMOS. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Raphael Vansebrouck, Olivier Jamin, Patricia Desgreys, Van Tam Nguyen 0004 Digital distortion compensation for wideband direct digitization RF receiver. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Emilie Avignon-Meseldzija, Pietro Maris Ferreira, Konstantinos Lekkas, Fabrice Boust A high-Q tunable grounded negative inductor for small antennas and broadband metamaterials. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1François Rummens, Sylvie Renaud, Noëlle Lewis CMOS differential neural amplifier with high input impedance. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Simon Paulus, Jean-Baptiste Kammerer, Joris Pascal, Luc Hébrard Continuous calibration of Rogowski coil current transducer. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Astria Nur Irfansyah, Andrew P. Nicholson, Julian Jenkins, Tara Julia Hamilton, Torsten Lehmann Subthreshold operation of Nauta's operational transconductance amplifier. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lounis Zerioul, Myriam Ariaudo, Emmanuelle Bourdel Optimization of spectral resources allocation in a context of RF network on chip. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xufeng Wu, Yahui Leng, Lenian He, Jianxiong Xi A linear constant current LED driver without off-chip inductor and capacitor. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Vincent Camus, Jeremy Schlachter, Christian C. Enz Energy-efficient digital design through inexact and approximate arithmetic circuits. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gael Kamdem De Teyou, Hervé Petit, Patrick Loumeau Adaptive and digital blind calibration of transfer function mismatch in time-interleaved ADCs. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hassan Anwar, Chao Chen, Giovanni Beltrame A probabilistically analysable cache implementation on FPGA. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nithin Jose, Nirmal John, Prashuk Jain, Prashant Raja, T. V. Prabhakar 0001, K. J. Vinoy RF powered integrated system for IoT applications. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lirida Alves de Barros Naviner, Hao Cai, You Wang 0002, Weisheng Zhao, Arwa Ben Dhia Stochastic computation with Spin Torque Transfer Magnetic Tunnel Junction. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Esmaeel Maghsoudloo, Masoud Rezaei, Mohamad Sawan, Benoit Gosselin A power-efficient wide-range signal level-shifter. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Luca Giuffredi, Giorgio Pietrini, Marco Ronchi, Alessandro Magnanini, Andrea Boni Low-power 3rd order ΣΔ modulator in CMOS 90-nm for sensor interface applications. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Swetha S. George, Roland Cheng, Zeljko Ignjatovic A novel ultrasound imaging technique for portable and high speed imaging. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Leonardo Bandeira Soares, Sergio Bampi, Eduardo Costa 0001 Approximate adder synthesis for area- and energy-efficient FIR filters in CMOS VLSI. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mykhailo Zarudniev, Laurent Alacoque, Arnaud Tonda, Sébastien Bolis, Arnaud Pouydebasque, Fabrice Jacquet Autofocus performance realization using automatic control approach. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yu Bao, Bart Stukken, Jef Stals, Caikou Chen, Luc Claesen Quantitative comparison of lossless video compression for multi-camera stereo and view interpolation applications. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Michele Spasaro, Federico Alimenti, Domenico Zito A black-box approach to RF LNA design. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Imane Malass, Wilfried Uhring, Jean-Pierre Le Normand, Norbert Dumas, Foudil Dadouche Efficiency improvement of high rate integrated time correlated single photon counting systems by incorporating an embedded FIFO. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chao Chu, Jens Anders, Joachim Becker, Maurits Ortmanns Finite GBW compensation technique for CT ΔΣ modulators with differentiator based ELD compensation. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Weitao Li, Fule Li, Ya Wang, Shengjing Li, Chun Zhang, Zhihua Wang 0001 A power-efficient 14-bit 250MS/s pipelined ADC. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Fu-Chiung Cheng, An-Hao Peng, Xiao-Li Lin, Shu-Chuan Huang Hybrid encoded QDI combinational circuits. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Nikoofard, Siavash Kananian, Ata Khorami, Ali Fotowat-Ahmady Analysis of the effects of clock imperfections in N-path filters. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Konstantinos Moustakas, Stylianos Siskos Low voltage CMOS charge pump with excellent current matching based on a rail-to-rail current conveyor. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1M. M. Vignetti, Françis Calmon, Remy Cellier, Patrick Pittet, Laurent Quiquerez, Aurore Savoy-Navarro A time-integration based quenching circuit for Geiger-mode avalanche diodes. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ali Ibrahim, Maurizio Valle, Luca Noli, Hussein Chible Singular value decomposition FPGA implementation for tactile data processing. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chuan Shan, Eldar Zianbetov, François Anceau, Olivier Billoint, Dimitri Galayko A distributed synchronization of all-digital PLLs network for clock generation in synchronous SOCs. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xun Jiao, Abbas Rahimi, Balakrishnan Narayanaswamy, Hamed Fatemi, José Pineda de Gyvez, Rajesh K. Gupta 0001 Supervised learning based model for predicting variability-induced timing errors. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gaspard Hiblot, Quentin Rafhay, Frédéric Boeuf, Gérard Ghibaudo Impact of short-channel effects on velocity overshoot in MOSFET. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Federica Resta, Marcello De Matteis, Alessandro Pezzotta, Stefano D'Amico, Andrea Baschirotto A 30MHz 28dBm-IIP3 3.2mW fully-differential Sallen-Key 4th-order filter with out-of-band zeros cancellation. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marcio Bender Machado, Márcio Cherem Schneider, Mohamad Sawan, Carlos Galup-Montoro Fully-integrated 86 mV-1V step-up converter for energy harvesting applications. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rawan Alkurd, Raed M. Shubair, Ibrahim Y. Abualhaol Survey on device-to-device communications: Challenges and design issues. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peter Luong, Carlos Christoffersen, Conrado Rossi-Aicardi, Carlos Dualibe Sub-1 V, 4 na CMOS voltage references with digitally-trimmable temperature coefficient. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Douglas D. O'Shaughnessy, Géza Kolumbán, Roger Lecomte Keynote speakers: The challenges of pattern recognition for speech signals. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Zhiyong He, Paul Fortier, Sébastien Roy 0002, Hushan Xu An encoder/decoder with throughput over Gigabits/sec for rate-compatible LDPC codes with wide code rates. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sean Whitehall, Carlos E. Saavedra A very high-sensitivity CMOS power detector for high data rate biotelemetry applications. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Amani Darwish, Laurent Fesquet, Gilles Sicard 1-Level crossing sampling scheme for low data rate image sensors. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anu Kalidas Muralidharan Pillai, Håkan Johansson Two reconstructors for m-channel time-interleaved ADCs with missing samples. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yin-Tsung Hwang, Jiun-Yan Chen An IP interface design compiler with SystemC based input specifications. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Liang Zhou 0005, Myriam Ariaudo, Cedric Duperrier, Sébastien Quintanel, Emmanuelle Bourdel Power adaptive receiver based on wide-band distributed low noise amplifier for home wireless networks. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ulrich Bihr, Hongcheng Xu, Christoph Bulach, Matthias Lorenz, Jens Anders, Maurits Ortmanns Real-time data compression of neural spikes. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Minh-Tien Nguyen, Chadi Jabbour, Majid Homayouni, David Duperray, Pascal Triaire, Van Tam Nguyen 0004 A flexible direct delta-sigma receiver for GSM/WCDMA/LTE. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Farshad Farahbakhshian, Allen Waters, Jason Muhlestein, Un-Ku Moon Stochastic approximation register ADC. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ahmed M. Atteya, Ahmed H. Madian A hybrid Chaos-AES encryption algorithm and its impelmention based on FPGA. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Imen Mhedhbi, Khalil Hachicha, Patrick Garda A new integer energy activity measure for parallel bit plane coding. Search on Bibsonomy NEWCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 1363 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license