The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Irith Pomeranz, Sudhakar M. Reddy Autoscan-Invert: An Improved Scan Design without External Scan Inputs or Outputs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tomokazu Yoneda, Akiko Shuto, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara TAM Design and Optimization for Transparency-Based SoC Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TAM design, transparency, ILP, SoC test
1Maryam Ashouei, Soumendu Bhattacharya, Abhijit Chatterjee Probabilistic Compensation for Digital Filters Using Pervasive Noise-Induced Operator Errors. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Bram Kruseman, Ananta K. Majhi, Guido Gronthoud On Performance Testing with Path Delay Patterns. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vikram Iyengar, Kenneth Pichamuthu, Andrew Ferko, Frank Woytowich, David E. Lackey, Gary Grise, Mark Taylor 0001, Mike Degregorio, Steven F. Oakland An Integrated Framework for At-Speed and ATE-Driven Delay Test of Contract-Manufactured ASICs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mohammad Hosseinabady, Mohammad Hossein Neishaburi, Pejman Lotfi-Kamran, Zainalabedin Navabi A UML Based System Level Failure Rate Assessment Technique for SoC Designs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jyun-Wei Chen, Ying-Yen Chen, Jing-Jia Liou Handling Pattern-Dependent Delay Faults in Diagnosis. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hyun Woo Choi, Donghoon Han, Abhijit Chatterjee Enhanced Resolution Jitter Testing Using Jitter Expansion. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Marcelo Negreiros, Adão Antônio de Souza Jr., Luigi Carro, Altamiro Amadeu Susin RF Digital Signal Generation Beyond Nyquist. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vishnu C. Vimjam, M. Enamul Amyeen, Ruifeng Guo, Srikanth Venkataraman, Michael S. Hsiao, Kai Yang Using Scan-Dump Values to Improve Functional-Diagnosis Methodology. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos, Petros Drineas, Mustapha Slamani, Yiorgos Makris Non-RF to RF Test Correlation Using Learning Machines: A Case Study. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Richard Putman, Nur A. Touba Using Multiple Expansion Ratios and Dependency Analysis to Improve Test Compression. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Rajsekhar Adapa, Spyros Tragoudas, Maria K. Michael Accelerating Diagnosis via Dominance Relations between Sets of Faults. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Kyoung Youn Cho, Edward J. McCluskey Test Set Reordering Using the Gate Exhaustive Test Metric. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low Power ATPG, Glitch Power, IR Drop, Peak Power, Power-profiling
1Anshuman Chandra, Haihua Yan, Rohit Kapur Multimode Illinois Scan Architecture for Test Application Time and Test Data Volume Reduction. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Erkan Acar, Sule Ozev, Kevin B. Redmond A Low-Cost RF MIMO Test Method Using a Single Measurement Set-up. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Amit Laknaur, Rui Xiao, Haibo Wang 0005 A Programmable Window Comparator for Analog Online Testing. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Rajarajan Senguttuvan, Abhijit Chatterjee Alternate Diagnostic Testing and Compensation of RF Transmitter Performance Using Response Detection. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1 25th IEEE VLSI Test Symposium (VTS 2007), 6-10 May 2007, Berkeley, California, USA Search on Bibsonomy VTS The full citation details ... 2007 DBLP  BibTeX  RDF
1Nima Honarmand, Ali Shahabi, Hasan Sohofi, Maghsoud Abbaspour, Zainalabedin Navabi High Level Synthesis of Degradable ASICs Using Virtual Binding. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Cecilia Metra, Martin Omaña 0001, T. M. Mak, Simon Tam 0001 Novel Approach to Clock Fault Testing for High Performance Microprocessors. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Kedarnath J. Balakrishnan, Lei Fang RTL Test Point Insertion to Reduce Delay Test Volume. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Somnath Paul, Rajat Subhra Chakraborty, Swarup Bhunia VIm-Scan: A Low Overhead Scan Design Approach for Protection of Secret Key in Scan-Based Secure Chips. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scan-based DFT, security, detection probability, low overhead, cryptographic hardware
1Jennifer Dworak An Analysis of Defect Detection for Weighted Random Patterns Generated with Observation/Excitation-Aware Partial Fault Targeting. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Mridul Agarwal, Bipul C. Paul, Ming Zhang, Subhasish Mitra Circuit Failure Prediction and Its Application to Transistor Aging. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jian Kang, Sharad C. Seth, Vijay Gangaram Efficient RTL Coverage Metric for Functional Test Selection. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Avijit Dutta, Nur A. Touba Multiple Bit Upset Tolerant Memory Using a Selective Cycle Avoidance Based SEC-DED-DAEC Code. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Matthieu Tuna, Mounir Benabdenbi, Alain Greiner At-Speed Testing of Core-Based System-on-Chip Using an Embedded Micro-Tester. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Yen-Chih Huang, Hsieh-Hung Hsieh, Liang-Hung Lu A Low-Noise Amplifier with Integrated Current and Power Sensors for RF BIST Applications. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Claude Thibeault On a New Outlier Rejection Technique. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-parameter testing, current testing
1Soumitra Bose, Vishwani D. Agrawal Delay Test Quality Evaluation Using Bounded Gate Delays. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1T.-L. Hung, J.-L. Huang A Low Cost Spectral Power Extraction Technique for RF Transceiver Testing. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jaehoon Song, Piljae Min, Hyunbean Yi, Sungju Park Design of Test Access Mechanism for AMBA-Based System-on-a-Chip. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Simon P. Wilson 0001, Ben Flood, Suresh Goyal, Jim Mosher, Susan Bergin, Joseph O'Brien, Robert Kennedy Parameter Estimation for a Model with Both Imperfect Test and Repair. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alexandre M. Amory, Frederico Ferlini, Marcelo Lubaszewski, Fernando Moraes 0001 DfT for the Reuse of Networks-on-Chip as Test Access Mechanism. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Tsu-Wei Tseng, Chun-Hsien Wu, Yu-Jen Huang, Jin-Fu Li 0001, Alex Pao, Kevin Chiu, Eliot Chen A Built-In Self-Repair Scheme for Multiport RAMs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev Optimizing Test Length for Soft Faults in DRAM Devices. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM testing, test length optimization, circuit design, memory layout, delay time, soft faults
1Wei Zou, Wu-Tung Cheng, Sudhakar M. Reddy, Huaxing Tang Speeding Up Effect-Cause Defect Diagnosis Using a Small Dictionary. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Rosa Rodríguez-Montañés, Daniel Arumí, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman, Maurice Lousberg, Ananta K. Majhi Diagnosis of Full Open Defects in Interconnecting Lines. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Defect Diagnosis, Full Open Defect, Interconnecting Line, CMOS
1Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman, Maurice Lousberg, Ananta K. Majhi Diagnosis of Bridging Defects Based on Current Signatures at Low Power Supply Voltages. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Current Based Diagnosis, Current Signatures, I_DDQ, Very Low Voltage, CMOS, Bridging Defect
1Byoungho Kim, Zhenhai Fu, Jacob A. Abraham Transformer-Coupled Loopback Test for Differential Mixed-Signal Specifications. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1K. Najeeb, Vishnu Vardhan Reddy Konda, Siva Kumar Sastry Hari, V. Kamakoti 0001, Vivekananda M. Vedula Power Virus Generation Using Behavioral Models of Circuits. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic power dissipation, Power virus, Integer Constraint Solvers, Hardware Description Languages (HDL), Behavioral Models
1Yu-Tsao Hsing, Chun-Chieh Huang, Jen-Chieh Yeh, Cheng-Wen Wu SDRAM Delay Fault Modeling and Performance Testing. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Un-Restored Destructive Write Faults Due to Resistive-Open Defects in the Write Driver of SRAMs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Peter Wohl, John A. Waicukauski, Sanjay Patel Automated Design and Insertion of Optimal One-Hot Bus Encoders. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Peter Wohl, John A. Waicukauski, Rohit Kapur, Sanjay Ramnath, Emil Gizdarski, Thomas W. Williams, P. Jaini Minimizing the Impact of Scan Compression. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Xiaojun Ma, Jing Huang 0001, Fabrizio Lombardi Error Tolerance in DNA Self-Assembly by (2k-1) x (2k-1) Snake Tile Sets. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF snake tile set, nanotechnology, error resilience, error tolerance, DNA Self-assembly
1Chris Schuermyer, Jewel Pangilinan, Jay Jahangiri, Martin Keim, Janusz Rajski, Brady Benware Silicon Evaluation of Static Alternative Fault Models. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Thomas Edison Yu, Tomokazu Yoneda, Danella Zhao, Hideo Fujiwara Using Domain Partitioning in Wrapper Design for IP Cores Under Power Constraints. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-clock domain, wrapper design, SoC, test scheduling, embedded core test
1Hsiang-Hui Huang, Ching-Hwa Cheng Using Clock-Vdd to Test and Diagnose the Power-Switch in Power-Gating Circuit. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Chunsheng Liu, Yu Huang 0005 Effects of Embedded Decompression and Compaction Architectures on Side-Channel Attack Resistance. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Hafizur Rahaman 0001, Jimson Mathew, Biplab K. Sikdar, Dhiraj K. Pradhan Transition Fault Testability in Bit Parallel Multipliers over GF(2^{m}). Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptography, polynomials, Multipliers, Galois field, error control code, Transition fault, C-testable
1Brian Mullins, Hossein Asadi 0001, Mehdi Baradaran Tahoori, David R. Kaeli, Kevin Granlund, Rudy Bauer, Scott Romano Case Study: Soft Error Rate Analysis in Storage Systems. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1John P. Hayes, Ilia Polian, Bernd Becker 0001 An Analysis Framework for Transient-Error Tolerance. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Vishwanath Natarajan, Ganesh Srinivasan, Abhijit Chatterjee, Craig Force Novel Cross-Loopback Based Test Approach for Specification Test of Multi-Band, Multi-Hardware Radios. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Le Jin, Degang Chen 0001, Randall L. Geiger Code-Density Test of Analog-to-Digital Converters Using Single Low-Linearity Stimulus Signal. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Dariusz Czysz, Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer Low Power Embedded Deterministic Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Olivier Ginez, Jean Michel Daga, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Retention and Reliability Problems in Embedded Flash Memories: Analysis and Test of Defective 2T-FLOTOX Tunnel Window. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
1Jinkyu Lee 0005, Nur A. Touba Combining Linear and Non-Linear Test Vector Compression Using Correlation-Based Rectangular Encoding. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vishwani D. Agrawal, Soumitra Bose, Vijay Gangaram Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Wojciech Rajski, Janusz Rajski Modular Compactor of Test Responses. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos, Yiorgos Makris Bridging the Accuracy of Functional and Machine-Learning-Based Mixed-Signal Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Davide Appello Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Probing technologies and probe cards, reduced pin count testing, multi-site efficiency, reconfigurable test resources and test resource partitioning, test generation and diagnosis, built-in and built-off DFT, test economics
1 Test Technology Technical Council (TTTC). Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Organizing Committee. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Bharath Seshadri, Xiaoming Yu, Srikanth Venkataraman Accelerating Diagnostic Fault Simulation Using Z-diagnosis and Concurrent Equivalence Identification. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz, Xijiang Lin, Janusz Rajski Scan Tests with Multiple Fault Activation Cycles for Delay Faults. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Avijit Dutta, Nur A. Touba Iterative OPDD Based Signal Probability Calculation. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yervant Zorian, Bruce C. Kim Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Wenjing Rao, Alex Orailoglu, Ramesh Karri Nanofabric Topologies and Reconfiguration Algorithms to Support Dynamically Adaptive Fault Tolerance. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vishnu C. Vimjam, Michael S. Hsiao Efficient Fault Collapsing via Generalized Dominance Relations. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Khader S. Abdel-Hafez, Kozo Kinoshita A New ATPG Method for Efficient Capture Power Reduction During Scan Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Bharath Seshadri, Irith Pomeranz, Srikanth Venkataraman, M. Enamul Amyeen, Sudhakar M. Reddy Dominance Based Analysis for Large Volume Production Fail Diagnosis. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Yoshiyuki Nakamura, Jacob Savir, Hideo Fujiwara BIST Pretest of ICs: Risks and Benefits. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Forward. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vlado Vorisek, Bruce Swanson, Kun-Han Tsai, Dhiraj Goswami Improved Handling of False and Multicycle Paths in ATPG. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jeremy Lee, Mohammad Tehranipoor, Jim Plusquellic A Low-Cost Solution for Protecting IPs Against Scan-Based Side-Channel Attacks. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Quming Zhou, Mihir R. Choudhury, Kartik Mohanram Design Optimization for Robustness to Single Event Upsets. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Jason G. Brown, R. D. (Shawn) Blanton Exploiting Regularity for Inductive Fault Analysis. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Cheng-Wen Wu Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Sai Raghuram Durbha, Amit Laknaur, Haibo Wang 0005 Investigating the Efficiency of Integrator-Based Capacitor Array Testing Techniques. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Bernard Courtois Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Acknowledgments. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Kazumi Hatayama Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Richard Putman, Rahul Gawde Enhanced Timing-Based Transition Delay Testing for Small Delay Defects. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hsieh-Hung Hsieh, Liang-Hung Lu Integrated CMOS Power Sensors for RF BIST Applications. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Suriyaprakash Natarajan, Srinivas Patil, Sreejit Chakravarty Path Delay Fault Simulation on Large Industrial Designs. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda A Pattern Ordering Algorithm for Reducing the Size of Fault Dictionaries. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Test Technology Educational Program (TTEP) Tutorials. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Hongjoong Shin, Byoungho Kim, Jacob A. Abraham Spectral Prediction for Specification-Based Loopback Test of Embedded Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1 Steering Committee. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Ramyanshu Datta, Gary D. Carpenter, Kevin J. Nowka, Jacob A. Abraham A Scheme for On-Chip Timing Characterization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Kee Sup Kim, Mohammad Tehranipoor Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Rajesh Galivanche, Bob Gottlieb Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Minsik Cho, David Z. Pan PEAKASO: Peak-Temperature Aware Scan-Vector Optimization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Davide Appello, Vincenzo Tancorre, Paolo Bernardi, Michelangelo Grosso, Maurizio Rebaudengo, Matteo Sonza Reorda On the Automation of the Test Flow of Complex SoCs. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Michael Nicolaidis Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Gurgen Harutunyan, Valery A. Vardanian, Yervant Zorian Minimal March Test Algorithm for Detection of Linked Static Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Vishwanath Natarajan, Soumendu Bhattacharya, Abhijit Chatterjee Alternate Electrical Tests for Extracting Mechanical Parameters of MEMS Accelerometer Sensors. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license