|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1663 occurrences of 935 keywords
|
|
|
Results
Found 1365 publication records. Showing 1365 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
7 | Pramod G. Joisha, Prithviraj Banerjee |
The Efficient Computation of Ownership Sets in HPF. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(8), pp. 769-788, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
array alignment, array distribution, ownership set, Fourier-Motzkin Elimination technique, parallelizing compiler, HPF |
7 | Satyam Tyagi, Paul Tarau |
A Most Specific Method Finding Algorithm for Reflection Based Dynamic Prolog-to-Java Interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, Third International Symposium, PADL 2001, Las Vegas, Nevada, USA, March 11-12, 2001, Proceedings, pp. 322-336, 2001, Springer, 3-540-41768-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
7 | Peter Thiemann 0001 |
Enforcing Safety Properties Using Type Specialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: Programming Languages and Systems, 10th European Symposium on Programming, ESOP 2001 Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2001 Genova, Italy, April 2-6, 2001, Proceedings, pp. 62-76, 2001, Springer, 3-540-41862-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
7 | Matthieu Martel, Marc Gengler |
Partial Evaluation of Concurrent Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, UK August 28-31, 2001, Proceedings, pp. 504-513, 2001, Springer, 3-540-42495-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Partial Evaluation, Control Flow Analysis, Concurrent Languages, Binding-time Analysis |
7 | Denis Caromel, Julien Vayssière |
Reflections on MOPs, Components, and Java Security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2001 - Object-Oriented Programming, 15th European Conference, Budapest, Hungary, June 18-22, 2001, Proceedings, pp. 256-274, 2001, Springer, 3-540-42206-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
7 | Matthew Fluet, Stephen Weeks |
Contification Using Dominators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the Sixth ACM SIGPLAN International Conference on Functional Programming (ICFP '01), Firenze (Florence), Italy, September 3-5, 2001., pp. 2-13, 2001, ACM, 1-58113-415-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Standard ML |
7 | Miki Abu, Israel Ben-Shaul |
A Multi-threading Model for Distributed Mobile Objects and Its Realization in FarGo. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 21st International Conference on Distributed Computing Systems (ICDCS 2001), Phoenix, Arizona, USA, April 16-19, 2001, pp. 313-321, 2001, IEEE Computer Society, 0-7695-1077-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Java, Multi-Threading, Distributed Objects, Mobile Objects |
7 | Anurag Choudhary, T. V. Prabhakar |
TransWeb: A Framework for Development of Transparent Load-Balanced Web Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DOA ![In: 3rd International Symposium on Distributed Objects and Applications, DOA 2001, Rome, Italy, September 17-20, 2001, pp. 85-, 2001, IEEE Computer Society, 0-7695-1300-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Web Server Load-balancing, Web Applications, Distributed Object, code mobility |
7 | Sally A. McKee, William A. Wulf, James H. Aylor, Robert H. Klenke, Maximo H. Salinas, Sung I. Hong, Dee A. B. Weikle |
Dynamic Access Ordering for Streamed Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(11), pp. 1255-1271, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Memory systems architecture, memory access ordering, memory access scheduling, memory bandwidth, memory latency |
7 | Csaba Andras Moritz, Matthew I. Frank, Saman P. Amarasinghe |
FlexCache: A Framework for Flexible Compiler Generated Data Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Memory Systems ![In: Intelligent Memory Systems, Second International Workshop, IMS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 135-146, 2000, Springer, 3-540-42328-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
7 | Françoise Harmsze, Adwin H. Timmer, Jef L. van Meerbergen |
Memory Arbitration and Cache Management in Stream-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 257-262, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
7 | Tsung-Chuan Huang, Chi-Fan, Po-Hsueh Hsu |
An Efficient Run-Time Scheme for Exploiting Parallelism on Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2000, 7th International Conference, Bangalore, India, December 17-20, 2000, Proceedings, pp. 27-36, 2000, Springer, 3-540-41429-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
7 | Michael Hind, Anthony Pioli |
Which pointer analysis should I use? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the International Symposium on Software Testing and Analysis, ISSTA 2000, Portland, OR, USA, August 21-24, 2000, pp. 113-123, 2000, ACM, 1-58113-266-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
interprocedural pointer analysis, data flow analysis |
7 | Virgil Andronache, Edwin Hsing-Mean Sha, Nelson L. Passos |
Design and analysis of efficient application-specific on-line page replacement techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, Chicago, Illinois, USA, March 2-4, 2000, pp. 123-128, 2000, ACM, 1-58113-251-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
7 | Michael Voss, Rudolf Eigenmann |
ADAPT: Automated De-Coupled Adaptive Program Transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2000 International Conference on Parallel Processing, ICPP 2000, Toronto, Canada, August 21-24, 2000, pp. 163-172, 2000, IEEE Computer Society, 0-7695-0768-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
7 | Zhong Wang, Michael Kirkpatrick 0001, Edwin Hsing-Mean Sha |
Optimal two level partitioning and loop scheduling for hiding memory latency for DSP applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 540-545, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
7 | Robert Kennedy, Sun Chan, Shin-Ming Liu, Raymond Lo, Peng Tu, Fred C. Chow |
Partial redundancy elimination in SSA form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 21(3), pp. 627-676, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
partial redundancy, data flow analysis, code motion, static single assignment form, common subexpressions |
7 | Claude Laï |
Using Constraints in Local Proofs for CLP Debugging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, First International Workshop, PADL '99, San Antonio, Texas, USA, January 18-19, 1999, Proceedings, pp. 350-359, 1999, Springer, 3-540-65527-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | Hongwei Xi |
Dead Code Elimination through Dependent Types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, First International Workshop, PADL '99, San Antonio, Texas, USA, January 18-19, 1999, Proceedings, pp. 228-242, 1999, Springer, 3-540-65527-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | Derek L. Howard, Mikko H. Lipasti |
The Effect of Program Optimization on Trace Cache Efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 256-261, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
compiler optimization, Microarchitecture, superscalar processors, trace cache |
7 | Nicholas Mitchell, Larry Carter, Jeanne Ferrante |
Localizing Non-Affine Array References. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 192-202, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
shackling, indirect memory references, inspector-executor, cache, locality, tiling, TLB, remapping |
7 | Madhavi Gopal Valluri, R. Govindarajan |
Evaluating Register Allocation and Instruction Scheduling Techniques in Out-Of-Order Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, Newport Beach, California, USA, October 12-16, 1999, pp. 78-83, 1999, IEEE Computer Society, 0-7695-0425-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Out-of-order Issue Processors, Instruction-Level Parallelism, Register Allocation, Instruction Scheduling, Integrated Methods |
7 | Aziz Moukrim, Eric Sanlaville, Frédéric Guinand |
Scheduling with Communication Delays and On-Line Disturbances. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 350-357, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | Atsushi Ohori, Nobuaki Yoshida |
Type Inference with Rank 1 Polymorphism for Type-Directed Compilation of ML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the fourth ACM SIGPLAN International Conference on Functional Programming (ICFP '99), Paris, France, September 27-29, 1999., pp. 160-171, 1999, ACM, 1-58113-111-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | Christopher W. Fraser, Todd A. Proebsting |
Finite-Static Code Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 1999 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, May 1-4, 1999, pp. 270-280, 1999, ACM, 1-58113-094-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | S. Tucker Taft |
Programming Language Design and Software Quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TOOLS (30) ![In: TOOLS 1999: 30th International Conference on Technology of Object-Oriented Languages and Systems, Delivering Quality Software - The Way Ahead, 1-5 August 1999, Santa Barbara, CA, USA, pp. 5, 1999, IEEE Computer Society, 0-7695-0278-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | Axel Schmolitzky, Mark Evered, James Leslie Keedy, Gisela Menger |
How Can Covariance in Pragmatical Class Methods be Made Statically Type-Safe? ![Search on Bibsonomy](Pics/bibsonomy.png) |
TOOLS (32) ![In: TOOLS Pacific 1999: 32nd International Conference on Technology of Object-Oriented Languages and Systems, 22-25 November 1999, Melbourne, Australia, pp. 200-209, 1999, IEEE Computer Society, 0-7695-0462-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
pragmatical class methods, static type safety, language design, covariance, binary methods |
7 | Michel Hurfin, Raimundo A. Macêdo, Michel Raynal, Frederic Tronel |
A General Framework to Solve Agreement Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: The Eighteenth Symposium on Reliable Distributed Systems, SRDS 1999, Lausanne, Switzerland, October 19-22, 1999, Proceedings, pp. 56-65, 1999, IEEE Computer Society, 0-7695-0290-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
7 | Celso L. Mendes, Daniel A. Reed |
Integrated Compilation and Scalability Analysis for Parallel Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998, pp. 385-392, 1998, IEEE Computer Society, 0-8186-8591-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Guided Compilation, Performance Prediction, Scalability Analysis |
7 | Laura Mota-Herranz, Matilde Celma |
Automatic Generation of Trigger Rules for Integrity Enforcement in Relational Databases with View Definition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FQAS ![In: Flexible Query Answering Systems, Third International Conference, FQAS'98, Roskilde, Denmark, May 13-15, 1998, Proceedings, pp. 286-297, 1998, Springer, 3-540-65082-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Daniela Genius, Martin Trapp 0002, Wolf Zimmermann |
An Approach to Improve Locality Using Sandwich Types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Types in Compilation ![In: Types in Compilation, Second International Workshop, TIC '98, Kyoto, Japan, March 25-27, 1998, Proceedings, pp. 194-214, 1998, Springer, 3-540-64925-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Steve Karmesin, James Crotinger, Julian Cummings, Scott Haney, William Humphrey, John Reynders, Stephen Smith 0002, Timothy J. Williams |
Array Design and Expression Evaluation in POOMA II. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCOPE ![In: Computing in Object-Oriented Parallel Environments, Second International Symposium, ISCOPE 98, Santa Fe, NM, USA, December 8-11, 1998, Proceedings, pp. 231-238, 1998, Springer, 3-540-65387-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Jesús Manuel Almendros-Jiménez |
Improving Computations in a Typed Functional Logic Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMAST ![In: Algebraic Methodology and Software Technology, 7th International Conference, AMAST '98, Amazonia, Brasil, January 4-8, 1999, Proceedings, pp. 438-454, 1998, Springer, 3-540-65462-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Boris Bokowski, Markus Dahm |
Poor Man's Genericity for Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP Workshops ![In: Object-Oriented Technology, ECOOP'98 Workshop Reader, ECOOP'98 Workshops, Demos, and Posters, Brussels, Belgium, July 20-24, 1998, Proceedings, pp. 552, 1998, Springer, 3-540-65460-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Ramkrishna Chatterjee, Barbara G. Ryder, William Landi |
Complexity of Concrete Type-Inference in the Presence of Exceptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: Programming Languages and Systems - ESOP'98, 7th European Symposium on Programming, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'98, Lisbon, Portugal, March 28 - April 4, 1998, Proceedings, pp. 57-74, 1998, Springer, 3-540-64302-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Robert P. Kurshan, Vladimir Levin, Marius Minea, Doron A. Peled, Hüsnü Yenigün |
Static Partial Order Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for Construction and Analysis of Systems, 4th International Conference, TACAS '98, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'98, Lisbon, Portugal, March 28 - April 4, 1998, Proceedings, pp. 345-357, 1998, Springer, 3-540-64356-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
7 | Soo-Mook Moon, Kemal Ebcioglu |
Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 19(6), pp. 853-898, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
global instruction scheduling, speculative code motion, instruction-level parallelism, software pipelining, VLIW, superscalar |
7 | Olivier Danvy, Ulrik Pagh Schultz |
Lambda-Dropping: Transforming Recursive Equations into Programs with Block Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEPM ![In: Proceedings of the ACM SIGPLAN Symposium on Partial Evaluation and Semantics-Based Program Manipulation (PEPM '97), Amsterdam, The Netherlands, June 12-13, 1997, pp. 90-106, 1997, ACM, 0-89791-917-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
7 | Clifford Beshers, Steven Feiner |
Generating Efficient Virtual Worlds for Visualization Using Partial Evaluation and Dynamic Compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEPM ![In: Proceedings of the ACM SIGPLAN Symposium on Partial Evaluation and Semantics-Based Program Manipulation (PEPM '97), Amsterdam, The Netherlands, June 12-13, 1997, pp. 107-115, 1997, ACM, 0-89791-917-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
multivariate data visualization, program transformation, virtual worlds, partial evaluation, dataflow |
7 | Christoph A. Herrmann, Christian Lengauer |
Transformation of Divide & Conquer to Nested Parallel Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLILP ![In: Programming Languages: Implementations, Logics, and Programs, 9th International Symposium, PLILP'97, Including a Special Trach on Declarative Programming Languages in Education, Southampton, UK, September 3-5, 1997, Proceedings, pp. 95-109, 1997, Springer, 3-540-63398-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
parallelization, Haskell, skeleton, divide-and-conquer, space-time mapping, equational reasoning |
7 | F. Jesús Sánchez, Antonio González 0001 |
Cache Sensitive Modulo Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 338-348, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
VLIW machines, Software pipelining, software prefetching, locality analysis |
7 | Jean-Pierre Talpin |
Reactive Types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TAPSOFT ![In: TAPSOFT'97: Theory and Practice of Software Development, 7th International Joint Conference CAAP/FASE, Lille, France, April 14-18, 1997, Proceedings, pp. 595-606, 1997, Springer, 3-540-62781-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
7 | Azzedine Boukerche, Sajal K. Das 0001 |
Dynamic Load Balancing Strategies for Conservative Parallel Simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel and Distributed Simulation ![In: Proceedings of the Eleventh Workshop on Parallel and Distributed Simulation, PADS '97, Lockenhaus, Austria, June 10-13, 1997, pp. 20-28, 1997, IEEE Computer Society, 0-8186-7965-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
7 | Chih-Ping Chu, Doris L. Carver |
Reordering the Statements with Dependence Cycles to Improve the Performance of Parallel Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1997 International Conference on Parallel and Distributed Systems (ICPADS '97), 11-13 December 1997, Seoul, Korea, Proceedings, pp. 322-328, 1997, IEEE Computer Society, 0-8186-8227-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Parallelism Exploitation, Dependence Cycles, Statement Reordering, Parallelization, Parallelizing Compilers |
7 | Piet Wauters, Marc Engels, Rudy Lauwereins, J. A. Peperstraete |
Cyclo-Dynamic Dataflow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 4th Euromicro Workshop on Parallel and Distributed Processing (PDP '96), January 24-26, 1996, Portugal, pp. 319-326, 1996, IEEE Computer Society, 0-8186-7376-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
cyclo dynamic data flow, CDDF, data flow model, real time digital signal processing, cyclo static dataflow, data dependent control flow, data flow languages, Boolean data flow model, scheduling, real-time systems, semantics, signal processing, parallel languages, data flow computing, automatic tools |
7 | Richard D. Potter, Gordon B. Steven |
Investigating the Limits of Fine-Grained Parallelism in a Statically Scheduled Superscalar Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par, Vol. II ![In: Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume II, pp. 779-788, 1996, Springer, 3-540-61627-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
7 | Ulana Legedza, William E. Weihl |
Reducing Synchronization Overhead in Parallel Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel and Distributed Simulation ![In: Proceedings of the Tenth Workshop on Parallel and Distributed Simulation, PADS '96, Philadelphia, PA, USA, May 22-24, 1996, pp. 86-95, 1996, IEEE Computer Society, 0-8186-7539-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
synchronization, network simulation, parallel simulation |
7 | Tyng-Ruey Chuang, Wen-Liang Hwang |
A Probabilistic Approach to the Problem of Automatic Selection of Data Representations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the 1996 ACM SIGPLAN International Conference on Functional Programming, ICFP 1996, Philadelphia, Pennsylvania, USA, May 24-26, 1996., pp. 190-200, 1996, ACM, 0-89791-770-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
7 | Patrice Godefroid, Doron A. Peled, Mark G. Staskauskas |
Using Partial-Order Methods in the Formal Validation of Industrial Concurrent Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the 1996 International Symposium on Software Testing and Analysis, ISSTA 1996, San Diego, CA, USA, January 8-10, 1996, pp. 261-269, 1996, ACM, 0-89791-787-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
7 | Dimitris Plexousakis, John Mylopoulos |
Accomodating Integrity Constraints During Database Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT ![In: Advances in Database Technology - EDBT'96, 5th International Conference on Extending Database Technology, Avignon, France, March 25-29, 1996, Proceedings, pp. 497-513, 1996, Springer, 3-540-61057-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
7 | Welf Löwe |
Optimization of PRAM-Programs with Input-Dependent Memory Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '95 Parallel Processing, First International Euro-Par Conference, Stockholm, Sweden, August 29-31, 1995, Proceedings, pp. 243-254, 1995, Springer, 3-540-60247-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
7 | Sundeep Prakash, Rajive L. Bagrodia |
An adaptive synchronization method for unpredictable communication patterns in dataparallel programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 838-844, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
adaptive synchronization method, unpredictable communication patterns, dataparallel programs, inspector-executor method, IBM SP1 multicomputer, synthetic benchmark codes, performance, parallel programming, compiler, code generation, synchronisation, program compilers, software performance evaluation, communication patterns |
7 | Shuvra S. Bhattacharyya, Sundararajan Sriram, Edward A. Lee |
Minimizing Synchronization Overhead in Statically Scheduled Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: The International Conference on Application Specific Array Processors (ASAP'95), July 24-26, 1995, Strasbourg, France, pp. 298-309, 1995, IEEE Computer Society, 0-8186-7109-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
multiprocessor implementation, synchronization, dataflow, static scheduling, iterative computation |
7 | Elena Baralis, Stefano Ceri, Stefano Paraboschi |
Run-time Detection of Non-Terminating Active Rule Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DOOD ![In: Deductive and Object-Oriented Databases, Fourth International Conference, DOOD'95, Singapore, December 4-7, 1995, Proceedings, pp. 38-54, 1995, Springer, 3-540-60608-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
7 | Hiroyuki Seki, Yasunori Ishihara, Minoru Ito |
Authorization Analysis of Queries in Object-Oriented Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DOOD ![In: Deductive and Object-Oriented Databases, Fourth International Conference, DOOD'95, Singapore, December 4-7, 1995, Proceedings, pp. 521-538, 1995, Springer, 3-540-60608-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
7 | Jeffrey F. Naughton, Raghu Ramakrishnan 0001 |
How to Forget the Past Without Repeating It. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 41(6), pp. 1151-1177, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
deductive database, space optimization |
7 | Ching-Long Su, Alvin M. Despain |
Minimizing branch misprediction penalties for superpipelined processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30 - December 2, 1994, pp. 138-142, 1994, ACM / IEEE Computer Society, 0-89791-707-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
7 | Sally A. McKee, Steven A. Moyer, William A. Wulf |
Increasing Memory Bandwidth for Vector Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Programming Languages and System Architectures ![In: Programming Languages and System Architectures, International Conference, Zurich, Switzerland, March 2-4, 1994, Proceedings, pp. 87-104, 1994, Springer, 3-540-57840-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
7 | Wolf Zimmermann, Welf Löwe |
An Approach to Machine-Independent Parallel Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 94 - VAPP VI, Third Joint International Conference on Vector and Parallel Processing, Linz, Austria, September 6-8, 1994, Proceedings, pp. 277-288, 1994, Springer, 3-540-58430-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
7 | Rumen Andonov, Sanjay V. Rajopadhye |
Optimal Tile Sizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 94 - VAPP VI, Third Joint International Conference on Vector and Parallel Processing, Linz, Austria, September 6-8, 1994, Proceedings, pp. 701-712, 1994, Springer, 3-540-58430-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
2-dimensional discrete nonlinear optimization, dynamic data dependencies, message vectorization, SPMD programs, communication-computation overlap |
7 | Steven D. Prestwich |
On Logic Program Parallelisation Strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 94 - VAPP VI, Third Joint International Conference on Vector and Parallel Processing, Linz, Austria, September 6-8, 1994, Proceedings, pp. 289-300, 1994, Springer, 3-540-58430-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
7 | Niall McCarroll 0002, Jon M. Kerridge |
A Strategy for Semantic Integrity Enforcement in a Parallel Database Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BNCOD ![In: Directions in Databases, 12th British National Conference on Databases, BNCOD 12, Guildford, United Kingdom, July 6-8, 1994, Proceedings, pp. 137-152, 1994, Springer, 3-540-58235-5. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
7 | Damien Doligez, Xavier Leroy |
A Concurrent, Generational Garbage Collector for a Multithreaded Implementation of ML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Twentieth Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Charleston, South Carolina, USA, January 1993, pp. 113-123, 1993, ACM Press, 0-89791-560-7. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
LML |
7 | Shun-Tak Leung, John Zahorjan |
Improving the Performance of Runtime Parallelization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the Fourth ACM SIGPLAN Symposium on Principles & Practice of Parallel Programming (PPOPP), San Diego, California, USA, May 19-22, 1993, pp. 83-91, 1993, ACM, 0-89791-589-5. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
7 | Andreas Krall, Thomas Berger |
Fast Prolog with VAM1p based Prolog Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLILP ![In: Programming Language Implementation and Logic Programming, 4th International Symposium, PLILP'92, Leuven, Belgium, August 26-28, 1992, Proceedings, pp. 245-259, 1992, Springer, 3-540-55844-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
7 | Jan Van den Bussche, Dirk Van Gucht |
Semi-determinism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Eleventh ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems, June 2-4, 1992, San Diego, California, USA, pp. 191-201, 1992, ACM Press, 0-89791-519-4. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
7 | Craig M. Chase, Kay Crowley, Joel H. Saltz, Anthony P. Reeves |
Compiler and runtime support for irregularly coupled regular meshes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 438-446, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
FORTRAN |
7 | Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker |
Sentinel Scheduling for VLIW and Superscalar Processors. (long version: TOCS 11(4): 376-408) ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-V Proceedings - Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, October 12-15, 1992., pp. 238-247, 1992, ACM Press, 0-89791-534-8. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
7 | Germán S. Goldszmidt |
Elastic servers in CORDS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1992 Conference of the Centre for Advanced Studies on Collaborative Research, November 9-12, 1992, Toronto, Ontario, Canada, 2 Volumes, pp. 283-291, 1992, IBM. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
7 | Charles Koelbel, Piyush Mehrotra |
Compiling Global Name-Space Parallel Loops for Distributed Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 2(4), pp. 440-451, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
message communication, global name-space parallel loops, high-level source program, independently executing tasks, run-timecode, Intel iPSC/2 hypercube, performance, parallel programming, compiler, translation, program compilers, distributed execution, data movement |
7 | Martín Abadi, Luca Cardelli, Benjamin C. Pierce, Gordon D. Plotkin |
Dynamic Typing in a Statically Typed Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 13(2), pp. 237-268, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
theory |
7 | Paul Hudak, Jonathan Young |
Collecting Interpretations of Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 13(2), pp. 269-290, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
theory |
7 | Andreas Krall, Ulrich Neumerkel |
The Vienna Abstract Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLILP ![In: Programming Language Implementation and Logic Programming, 2nd International Workshop PLILP'90, Linköping, Sweden, August 20-22, 1990, Proceedings, pp. 121-135, 1990, Springer, 3-540-53010-X. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Guy L. Steele Jr. |
Making Asynchronous Parallelism Safe for the World. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Seventeenth Annual ACM Symposium on Principles of Programming Languages, San Francisco, California, USA, January 1990, pp. 218-231, 1990, ACM Press, 0-89791-343-4. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Guang R. Gao, Herbert H. J. Hum, Yue-Bong Wong |
An Efficient Scheme for Fine-Grain Software Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: CONPAR 90 - VAPP IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings, pp. 709-720, 1990, Springer, 3-540-53065-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Rajiv Gupta 0001 |
A Fresh Look at Optimizing Array Bound Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'90 Conference on Programming Language Design and Implementation (PLDI), White Plains, New York, USA, June 20-22, 1990, pp. 272-282, 1990, ACM, 0-89791-364-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Robert R. Henry, Kenneth M. Whaley, Bruce Forstall |
The University of Washington Illustrating Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'90 Conference on Programming Language Design and Implementation (PLDI), White Plains, New York, USA, June 20-22, 1990, pp. 223-233, 1990, ACM, 0-89791-364-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Sang Lyul Min, Jean-Loup Baer, Hyoung-Joo Kim |
An efficient caching support for critical sections in large-scale shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 4th international conference on Supercomputing, ICS 1990, Amsterdam, The Netherlands, June 11-15, 1990, pp. 34-47, 1990, ACM, 0-89791-369-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Gregory D. Abram, Turner Whitted |
Building block shaders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 17th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1990, Dallas, TX, USA, August 6-10, 1990, pp. 283-288, 1990, ACM, 0-201-50933-4. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | David C. Ku, Giovanni De Micheli |
Relative Scheduling Under Timing Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990., pp. 59-64, 1990, IEEE Computer Society Press, 0-89791-363-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Mounira Belmesk |
An Execution Model for Exploiting And-Or Parallelism in Logic Programs (abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSAC ![In: Proceedings of the International Symposium on Symbolic and Algebraic Computation, ISSAC '90, Tokyo, Japan, August 20-24, 1990, pp. 288, 1990, ACM, 0-201-54892-5. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
7 | Karen Shannon, Richard T. Snodgrass |
Mapping the Interface Description Language Type Model into C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(11), pp. 1333-1346, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Interface Description Language type model, C data structures, macro definitions, type safe, data structures, mapping, efficiency, programming environment, programming environments, high level languages, C language, ease of use, IDL, preprocessor, cooperating processes, run-time efficient |
7 | Hessam Khoshnevisan, K. M. Sephton |
InvX: An Automatic Function Inverter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTA ![In: Rewriting Techniques and Applications, 3rd International Conference, RTA-89, Chapel Hill, North Carolina, USA, April 3-5, 1989, Proceedings, pp. 564-568, 1989, Springer, 3-540-51081-8. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
7 | Flemming Nielson, Hanne Riis Nielson |
2-level lambda-lifting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: ESOP '88, 2nd European Symposium on Programming, Nancy, France, March 21-24, 1988, Proceedings, pp. 328-343, 1988, Springer, 3-540-19027-9. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
7 | Peiyi Tang, Pen-Chung Yew, Chuan-Qi Zhu |
Impact of self-scheduling order on performance on multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2nd international conference on Supercomputing, ICS 1988, Saint Malo, France, July 4-8, 1988, pp. 593-603, 1988, ACM, 0-89791-272-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
7 | James E. Smith 0001, Gregory E. Dermer, B. D. Vanderwarn, S. D. Klinger, C. M. Rozewski, D. L. Fowler, K. R. Scidmore, James Laudon |
The ZS-1 Central Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the Second International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS II), Palo Alto, California, USA, October 5-8, 1987., pp. 199-204, 1987, ACM Press, 0-8186-0805-6. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
VisiCalc |
7 | Mitchell Wand |
Finding the Source of Type Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Thirteenth Annual ACM Symposium on Principles of Programming Languages, St. Petersburg Beach, Florida, USA, January 1986, pp. 38-43, 1986, ACM Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
7 | Hanne Riis Nielson, Flemming Nielson |
Pragmatic Aspects of Two-Level Denotational Meta-Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: ESOP 86, European Symposium on Programming, Saarbrücken, Federal Republic of Germany, March 17-19, 1986, Proceedings, pp. 133-143, 1986, Springer, 3-540-16442-1. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
7 | Arvola Chan, Umeshwar Dayal, Stephen Fox, Nathan Goodman, Daniel R. Ries, Dale Skeen |
Overview of an Ada Compatible Distributed Database Manager. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: SIGMOD'83, Proceedings of Annual Meeting, San Jose, California, USA, May 23-26, 1983., pp. 228-237, 1983, ACM Press, 978-0-89791-104-7. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
7 | Ronald H. Perrott |
A Language for Array and Vector Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 1(2), pp. 177-195, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
|
7 | Richard C. Holt, David B. Wortman |
A model for implementing Euclid modules and type templates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGPLAN Symposium on Compiler Construction ![In: Proceedings of the 1979 SIGPLAN Symposium on Compiler Construction, Denver, Colorado, USA, August 6-10, 1979, pp. 8-12, 1979, ACM, 0-89791-002-8. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
EUCLID |
7 | Michael Hammer, Sunil K. Sarin |
Efficient Monitoring of Database Assertions (Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1978 ACM SIGMOD International Conference on Management of Data, Austin, Texas, USA, May 31 - June 2, 1978, pp. 159, 1978, ACM, 978-1-4503-7342-5. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
|
7 | Eduardo B. Fernández, Rita C. Summers, Charles D. Coleman |
An Authorization Model for a Shared Data Base. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1975 ACM SIGMOD International Conference on Management of Data, San Jose, California, USA, May 14-16, 1975., pp. 23-31, 1975, ACM, 978-1-4503-7328-9. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
|
7 | Doina Bucur, Marta Z. Kwiatkowska |
Software verification for TinyOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the 9th International Conference on Information Processing in Sensor Networks, IPSN 2010, April 12-16, 2010, Stockholm, Sweden, pp. 400-401, 2010, ACM, 978-1-60558-988-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
sensor networks, model checking, reliability, safety, software verification, TinyOS, telos, MSP430 |
7 | Santosh Nagarakatte, Jianzhou Zhao, Milo M. K. Martin, Steve Zdancewic |
CETS: compiler enforced temporal safety for C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 9th International Symposium on Memory Management, ISMM 2010, Toronto, Ontario, Canada, June 5-6, 2010, pp. 31-40, 2010, ACM, 978-1-4503-0054-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
temporal errors, c, memory safety, dangling pointers |
7 | Liang Han, Wei Liu 0014, James Tuck 0001 |
Speculative parallelization of partial reduction variables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 141-150, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
reduction variables, parallelization, thread-level speculation, multi-core architecture |
7 | William R. Marczak, Shan Shan Huang, Martin Bravenboer, Micah Sherr, Boon Thau Loo, Molham Aref |
SecureBlox: customizable secure distributed data processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2010, Indianapolis, Indiana, USA, June 6-10, 2010, pp. 723-734, 2010, ACM, 978-1-4503-0032-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
secure data management, datalog, distributed query processing |
7 | Sandya Mannarswamy, Dhruva R. Chakrabarti, Kaushik Rajan, Sujoy Saraswati |
Compiler aided selective lock assignment for improving the performance of software transactional memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2010, Bangalore, India, January 9-14, 2010, pp. 37-46, 2010, ACM, 978-1-60558-877-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
performance, parallelization, compilers, multithreading |
7 | Vilas Sridharan, David R. Kaeli |
Using hardware vulnerability factors to enhance AVF analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 461-472, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
architectural vulnerability factor, fault tolerance, reliability |
7 | Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott A. Mahlke |
Shoestring: probabilistic soft error reliability on the cheap. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 385-396, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
error detection, fault injection, compiler analysis |
Displaying result #901 - #1000 of 1365 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|